SLEA-chrono/SLEA/Chronometre.qsf
2018-01-24 17:28:00 +01:00

86 lines
4 KiB
Plaintext

# -------------------------------------------------------------------------- #
#
# Copyright (C) 1991-2009 Altera Corporation
# Your use of Altera Corporation's design tools, logic functions
# and other software and tools, and its AMPP partner logic
# functions, and any output files from any of the foregoing
# (including device programming or simulation files), and any
# associated documentation or information are expressly subject
# to the terms and conditions of the Altera Program License
# Subscription Agreement, Altera MegaCore Function License
# Agreement, or other applicable license agreement, including,
# without limitation, that your use is for the sole purpose of
# programming logic devices manufactured by Altera and sold by
# Altera or its authorized distributors. Please refer to the
# applicable agreement for further details.
#
# -------------------------------------------------------------------------- #
#
# Quartus II
# Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition
# Date created = 13:44:11 December 08, 2017
#
# -------------------------------------------------------------------------- #
#
# Notes:
#
# 1) The default values for assignments are stored in the file:
# Chronometre_assignment_defaults.qdf
# If this file doesn't exist, see file:
# assignment_defaults.qdf
#
# 2) Altera recommends that you do not modify this file. This
# file is updated automatically by the Quartus II software
# and any changes you make may be lost or overwritten.
#
# -------------------------------------------------------------------------- #
set_global_assignment -name FAMILY FLEX10K
set_global_assignment -name DEVICE "EPF10K70RC240-4"
set_global_assignment -name TOP_LEVEL_ENTITY CHRONO
set_global_assignment -name ORIGINAL_QUARTUS_VERSION "9.0 SP2"
set_global_assignment -name PROJECT_CREATION_TIME_DATE "13:44:11 DECEMBER 08, 2017"
set_global_assignment -name LAST_QUARTUS_VERSION "9.0 SP2"
set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
set_global_assignment -name BDF_FILE Decodeur.bdf
set_global_assignment -name SMART_RECOMPILE ON
set_global_assignment -name FLEX10K_DEVICE_IO_STANDARD TTL
set_global_assignment -name MISC_FILE "U:/SLEA/Chronometre.dpf"
set_global_assignment -name VECTOR_WAVEFORM_FILE decodeur1.vwf
set_global_assignment -name SIMULATION_MODE FUNCTIONAL
set_global_assignment -name BDF_FILE BoutonPoussoir.bdf
set_global_assignment -name VECTOR_WAVEFORM_FILE BoutonPoussoir.vwf
set_global_assignment -name BDF_FILE BoutonPoussoir2.bdf
set_global_assignment -name VECTOR_WAVEFORM_FILE BoutonPoussoir2.vwf
set_global_assignment -name BDF_FILE DiviseurDeFrequence.bdf
set_global_assignment -name BDF_FILE CheminDeDonnees.bdf
set_location_assignment PIN_6 -to A
set_location_assignment PIN_7 -to B
set_location_assignment PIN_8 -to C
set_location_assignment PIN_9 -to D
set_location_assignment PIN_11 -to E
set_location_assignment PIN_12 -to F
set_location_assignment PIN_13 -to G
set_location_assignment PIN_25 -to pointSeconde
set_location_assignment PIN_14 -to pointDixieme
set_location_assignment PIN_17 -to a1
set_location_assignment PIN_18 -to b1
set_location_assignment PIN_19 -to c1
set_location_assignment PIN_20 -to d1
set_location_assignment PIN_21 -to e1
set_location_assignment PIN_23 -to f1
set_location_assignment PIN_24 -to g1
set_global_assignment -name BDF_FILE Sequenceur.bdf
set_global_assignment -name BDF_FILE CHRONO.bdf
set_location_assignment PIN_91 -to H
set_location_assignment PIN_28 -to BP1
set_location_assignment PIN_29 -to BP2
set_location_assignment PIN_48 -to Count
set_location_assignment PIN_53 -to Reset
set_location_assignment PIN_45 -to BP1out
set_global_assignment -name BDF_FILE sequenceur2.bdf
set_global_assignment -name VECTOR_WAVEFORM_FILE sequenceur2.vwf
set_global_assignment -name INCREMENTAL_VECTOR_INPUT_SOURCE sequenceur2.vwf