From 4cd8f015eede777c4c983ff3f38c438577d26144 Mon Sep 17 00:00:00 2001 From: Klafyvel Date: Wed, 24 Jan 2018 17:28:00 +0100 Subject: [PATCH] =?UTF-8?q?S=C3=A9ance=20du=2024/01?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- README.md | 5 + SLEA/BoutonPoussoir.bdf | 522 ++++++ SLEA/BoutonPoussoir.vwf | 163 ++ SLEA/BoutonPoussoir2.bdf | 328 ++++ SLEA/BoutonPoussoir2.bsf | 57 + SLEA/BoutonPoussoir2.vwf | 169 ++ SLEA/CHRONO.bdf | 893 +++++++++++ SLEA/CheminDeDonnees.bdf | 1421 +++++++++++++++++ SLEA/CheminDeDonnees.bsf | 162 ++ SLEA/CheminDeDonnées.bdf | 1110 +++++++++++++ SLEA/Chronometre.asm.rpt | 125 ++ SLEA/Chronometre.cdf | 13 + SLEA/Chronometre.done | 1 + SLEA/Chronometre.dpf | 12 + SLEA/Chronometre.fit.rpt | 788 +++++++++ SLEA/Chronometre.fit.summary | 10 + SLEA/Chronometre.flow.rpt | 115 ++ SLEA/Chronometre.map.rpt | 280 ++++ SLEA/Chronometre.map.summary | 8 + SLEA/Chronometre.pin | 284 ++++ SLEA/Chronometre.pof | Bin 0 -> 212123 bytes SLEA/Chronometre.qpf | 30 + SLEA/Chronometre.qsf | 86 + SLEA/Chronometre.qws | 17 + SLEA/Chronometre.sim.rpt | 171 ++ SLEA/Chronometre.sof | Bin 0 -> 110268 bytes SLEA/Chronometre.tan.rpt | 503 ++++++ SLEA/Chronometre.tan.summary | 56 + SLEA/Decodeur.bdf | 374 +++++ SLEA/DiviseurDeFrequence.bdf | 818 ++++++++++ SLEA/DiviseurDeFrequence.bsf | 43 + SLEA/Sequenceur_vhdl.vhd | 22 + SLEA/db/Chronometre.(0).cnf.cdb | Bin 0 -> 779 bytes SLEA/db/Chronometre.(0).cnf.hdb | Bin 0 -> 627 bytes SLEA/db/Chronometre.(1).cnf.cdb | Bin 0 -> 1942 bytes SLEA/db/Chronometre.(1).cnf.hdb | Bin 0 -> 1185 bytes SLEA/db/Chronometre.(10).cnf.cdb | Bin 0 -> 896 bytes SLEA/db/Chronometre.(10).cnf.hdb | Bin 0 -> 556 bytes SLEA/db/Chronometre.(11).cnf.cdb | Bin 0 -> 2857 bytes SLEA/db/Chronometre.(11).cnf.hdb | Bin 0 -> 1577 bytes SLEA/db/Chronometre.(12).cnf.cdb | Bin 0 -> 1559 bytes SLEA/db/Chronometre.(12).cnf.hdb | Bin 0 -> 948 bytes SLEA/db/Chronometre.(13).cnf.cdb | Bin 0 -> 1469 bytes SLEA/db/Chronometre.(13).cnf.hdb | Bin 0 -> 1117 bytes SLEA/db/Chronometre.(14).cnf.cdb | Bin 0 -> 802 bytes SLEA/db/Chronometre.(14).cnf.hdb | Bin 0 -> 624 bytes SLEA/db/Chronometre.(2).cnf.cdb | Bin 0 -> 1292 bytes SLEA/db/Chronometre.(2).cnf.hdb | Bin 0 -> 688 bytes SLEA/db/Chronometre.(3).cnf.cdb | Bin 0 -> 896 bytes SLEA/db/Chronometre.(3).cnf.hdb | Bin 0 -> 556 bytes SLEA/db/Chronometre.(4).cnf.cdb | Bin 0 -> 4345 bytes SLEA/db/Chronometre.(4).cnf.hdb | Bin 0 -> 2522 bytes SLEA/db/Chronometre.(5).cnf.cdb | Bin 0 -> 898 bytes SLEA/db/Chronometre.(5).cnf.hdb | Bin 0 -> 556 bytes SLEA/db/Chronometre.(6).cnf.cdb | Bin 0 -> 1296 bytes SLEA/db/Chronometre.(6).cnf.hdb | Bin 0 -> 774 bytes SLEA/db/Chronometre.(7).cnf.cdb | Bin 0 -> 894 bytes SLEA/db/Chronometre.(7).cnf.hdb | Bin 0 -> 556 bytes SLEA/db/Chronometre.(8).cnf.cdb | Bin 0 -> 896 bytes SLEA/db/Chronometre.(8).cnf.hdb | Bin 0 -> 556 bytes SLEA/db/Chronometre.(9).cnf.cdb | Bin 0 -> 894 bytes SLEA/db/Chronometre.(9).cnf.hdb | Bin 0 -> 556 bytes SLEA/db/Chronometre.asm.qmsg | 5 + SLEA/db/Chronometre.cbx.xml | 5 + SLEA/db/Chronometre.cmp.cdb | Bin 0 -> 15347 bytes SLEA/db/Chronometre.cmp.hdb | Bin 0 -> 12454 bytes SLEA/db/Chronometre.cmp.logdb | 1 + SLEA/db/Chronometre.cmp.rdb | Bin 0 -> 16732 bytes SLEA/db/Chronometre.cmp.tdb | Bin 0 -> 11373 bytes SLEA/db/Chronometre.cmp0.ddb | Bin 0 -> 5021 bytes SLEA/db/Chronometre.db_info | 3 + SLEA/db/Chronometre.eco.cdb | Bin 0 -> 175 bytes SLEA/db/Chronometre.eds_overflow | 1 + SLEA/db/Chronometre.fit.qmsg | 16 + SLEA/db/Chronometre.fnsim.hdb | Bin 0 -> 7935 bytes SLEA/db/Chronometre.fnsim.qmsg | 13 + SLEA/db/Chronometre.hier_info | 471 ++++++ SLEA/db/Chronometre.hif | 729 +++++++++ SLEA/db/Chronometre.lpc.html | 98 ++ SLEA/db/Chronometre.lpc.rdb | Bin 0 -> 503 bytes SLEA/db/Chronometre.lpc.txt | 11 + SLEA/db/Chronometre.map.cdb | Bin 0 -> 5974 bytes SLEA/db/Chronometre.map.hdb | Bin 0 -> 12378 bytes SLEA/db/Chronometre.map.logdb | 1 + SLEA/db/Chronometre.map.qmsg | 32 + SLEA/db/Chronometre.pre_map.cdb | Bin 0 -> 13846 bytes SLEA/db/Chronometre.pre_map.hdb | Bin 0 -> 16494 bytes SLEA/db/Chronometre.rtlv.hdb | Bin 0 -> 16450 bytes SLEA/db/Chronometre.rtlv_sg.cdb | Bin 0 -> 12602 bytes SLEA/db/Chronometre.rtlv_sg_swap.cdb | Bin 0 -> 2283 bytes SLEA/db/Chronometre.sgdiff.cdb | Bin 0 -> 7842 bytes SLEA/db/Chronometre.sgdiff.hdb | Bin 0 -> 16709 bytes SLEA/db/Chronometre.sim.hdb | Bin 0 -> 3217 bytes SLEA/db/Chronometre.sim.qmsg | 12 + SLEA/db/Chronometre.sim.rdb | Bin 0 -> 2310 bytes SLEA/db/Chronometre.sim_ori.vwf | 211 +++ SLEA/db/Chronometre.simfam | 2 + SLEA/db/Chronometre.sld_design_entry.sci | Bin 0 -> 168 bytes SLEA/db/Chronometre.sld_design_entry_dsc.sci | Bin 0 -> 168 bytes SLEA/db/Chronometre.sta.qmsg | 5 + SLEA/db/Chronometre.syn_hier_info | 0 SLEA/db/Chronometre.tan.qmsg | 12 + SLEA/db/Chronometre.tis_db_list.ddb | Bin 0 -> 188 bytes SLEA/db/Chronometre.tmw_info | 6 + SLEA/db/prev_cmp_Chronometre.asm.qmsg | 5 + SLEA/db/prev_cmp_Chronometre.fit.qmsg | 16 + SLEA/db/prev_cmp_Chronometre.map.qmsg | 32 + SLEA/db/prev_cmp_Chronometre.qmsg | 67 + SLEA/db/prev_cmp_Chronometre.sim.qmsg | 12 + SLEA/db/prev_cmp_Chronometre.tan.qmsg | 12 + SLEA/db/wed.wsf | 158 ++ SLEA/decodeur1.vwf | 96 ++ SLEA/incremental_db/README | 11 + .../Chronometre.root_partition.map.kpt | 10 + SLEA/sequenceur2.bdf | 333 ++++ SLEA/sequenceur2.bsf | 64 + SLEA/sequenceur2.vwf | 213 +++ SLEA/serv_req_info.txt | 60 + SLEA/undo_redo.txt | 111 ++ compte_rendu.pdf | Bin 9385686 -> 9435142 bytes compte_rendu.tex | 138 +- images/chrono.PNG | Bin 0 -> 34286 bytes images/seq2.PNG | Bin 0 -> 10710 bytes images/sequenceur.png | Bin 0 -> 14202 bytes 124 files changed, 11544 insertions(+), 14 deletions(-) create mode 100644 README.md create mode 100644 SLEA/BoutonPoussoir.bdf create mode 100644 SLEA/BoutonPoussoir.vwf create mode 100644 SLEA/BoutonPoussoir2.bdf create mode 100644 SLEA/BoutonPoussoir2.bsf create mode 100644 SLEA/BoutonPoussoir2.vwf create mode 100644 SLEA/CHRONO.bdf create mode 100644 SLEA/CheminDeDonnees.bdf create mode 100644 SLEA/CheminDeDonnees.bsf create mode 100644 SLEA/CheminDeDonnées.bdf create mode 100644 SLEA/Chronometre.asm.rpt create mode 100644 SLEA/Chronometre.cdf create mode 100644 SLEA/Chronometre.done create mode 100644 SLEA/Chronometre.dpf create mode 100644 SLEA/Chronometre.fit.rpt create mode 100644 SLEA/Chronometre.fit.summary create mode 100644 SLEA/Chronometre.flow.rpt create mode 100644 SLEA/Chronometre.map.rpt create mode 100644 SLEA/Chronometre.map.summary create mode 100644 SLEA/Chronometre.pin create mode 100644 SLEA/Chronometre.pof create mode 100644 SLEA/Chronometre.qpf create mode 100644 SLEA/Chronometre.qsf create mode 100644 SLEA/Chronometre.qws create mode 100644 SLEA/Chronometre.sim.rpt create mode 100644 SLEA/Chronometre.sof create mode 100644 SLEA/Chronometre.tan.rpt create mode 100644 SLEA/Chronometre.tan.summary create mode 100644 SLEA/Decodeur.bdf create mode 100644 SLEA/DiviseurDeFrequence.bdf create mode 100644 SLEA/DiviseurDeFrequence.bsf create mode 100644 SLEA/Sequenceur_vhdl.vhd create mode 100644 SLEA/db/Chronometre.(0).cnf.cdb create mode 100644 SLEA/db/Chronometre.(0).cnf.hdb create mode 100644 SLEA/db/Chronometre.(1).cnf.cdb create mode 100644 SLEA/db/Chronometre.(1).cnf.hdb create mode 100644 SLEA/db/Chronometre.(10).cnf.cdb create mode 100644 SLEA/db/Chronometre.(10).cnf.hdb create mode 100644 SLEA/db/Chronometre.(11).cnf.cdb create mode 100644 SLEA/db/Chronometre.(11).cnf.hdb create mode 100644 SLEA/db/Chronometre.(12).cnf.cdb create mode 100644 SLEA/db/Chronometre.(12).cnf.hdb create mode 100644 SLEA/db/Chronometre.(13).cnf.cdb create mode 100644 SLEA/db/Chronometre.(13).cnf.hdb create mode 100644 SLEA/db/Chronometre.(14).cnf.cdb create mode 100644 SLEA/db/Chronometre.(14).cnf.hdb create mode 100644 SLEA/db/Chronometre.(2).cnf.cdb create mode 100644 SLEA/db/Chronometre.(2).cnf.hdb create mode 100644 SLEA/db/Chronometre.(3).cnf.cdb create mode 100644 SLEA/db/Chronometre.(3).cnf.hdb create mode 100644 SLEA/db/Chronometre.(4).cnf.cdb create mode 100644 SLEA/db/Chronometre.(4).cnf.hdb create mode 100644 SLEA/db/Chronometre.(5).cnf.cdb create mode 100644 SLEA/db/Chronometre.(5).cnf.hdb create mode 100644 SLEA/db/Chronometre.(6).cnf.cdb create mode 100644 SLEA/db/Chronometre.(6).cnf.hdb create mode 100644 SLEA/db/Chronometre.(7).cnf.cdb create mode 100644 SLEA/db/Chronometre.(7).cnf.hdb create mode 100644 SLEA/db/Chronometre.(8).cnf.cdb create mode 100644 SLEA/db/Chronometre.(8).cnf.hdb create mode 100644 SLEA/db/Chronometre.(9).cnf.cdb create mode 100644 SLEA/db/Chronometre.(9).cnf.hdb create mode 100644 SLEA/db/Chronometre.asm.qmsg create mode 100644 SLEA/db/Chronometre.cbx.xml create mode 100644 SLEA/db/Chronometre.cmp.cdb create mode 100644 SLEA/db/Chronometre.cmp.hdb create mode 100644 SLEA/db/Chronometre.cmp.logdb create mode 100644 SLEA/db/Chronometre.cmp.rdb create mode 100644 SLEA/db/Chronometre.cmp.tdb create mode 100644 SLEA/db/Chronometre.cmp0.ddb create mode 100644 SLEA/db/Chronometre.db_info create mode 100644 SLEA/db/Chronometre.eco.cdb create mode 100644 SLEA/db/Chronometre.eds_overflow create mode 100644 SLEA/db/Chronometre.fit.qmsg create mode 100644 SLEA/db/Chronometre.fnsim.hdb create mode 100644 SLEA/db/Chronometre.fnsim.qmsg create mode 100644 SLEA/db/Chronometre.hier_info create mode 100644 SLEA/db/Chronometre.hif create mode 100644 SLEA/db/Chronometre.lpc.html create mode 100644 SLEA/db/Chronometre.lpc.rdb create mode 100644 SLEA/db/Chronometre.lpc.txt create mode 100644 SLEA/db/Chronometre.map.cdb create mode 100644 SLEA/db/Chronometre.map.hdb create mode 100644 SLEA/db/Chronometre.map.logdb create mode 100644 SLEA/db/Chronometre.map.qmsg create mode 100644 SLEA/db/Chronometre.pre_map.cdb create mode 100644 SLEA/db/Chronometre.pre_map.hdb create mode 100644 SLEA/db/Chronometre.rtlv.hdb create mode 100644 SLEA/db/Chronometre.rtlv_sg.cdb create mode 100644 SLEA/db/Chronometre.rtlv_sg_swap.cdb create mode 100644 SLEA/db/Chronometre.sgdiff.cdb create mode 100644 SLEA/db/Chronometre.sgdiff.hdb create mode 100644 SLEA/db/Chronometre.sim.hdb create mode 100644 SLEA/db/Chronometre.sim.qmsg create mode 100644 SLEA/db/Chronometre.sim.rdb create mode 100644 SLEA/db/Chronometre.sim_ori.vwf create mode 100644 SLEA/db/Chronometre.simfam create mode 100644 SLEA/db/Chronometre.sld_design_entry.sci create mode 100644 SLEA/db/Chronometre.sld_design_entry_dsc.sci create mode 100644 SLEA/db/Chronometre.sta.qmsg create mode 100644 SLEA/db/Chronometre.syn_hier_info create mode 100644 SLEA/db/Chronometre.tan.qmsg create mode 100644 SLEA/db/Chronometre.tis_db_list.ddb create mode 100644 SLEA/db/Chronometre.tmw_info create mode 100644 SLEA/db/prev_cmp_Chronometre.asm.qmsg create mode 100644 SLEA/db/prev_cmp_Chronometre.fit.qmsg create mode 100644 SLEA/db/prev_cmp_Chronometre.map.qmsg create mode 100644 SLEA/db/prev_cmp_Chronometre.qmsg create mode 100644 SLEA/db/prev_cmp_Chronometre.sim.qmsg create mode 100644 SLEA/db/prev_cmp_Chronometre.tan.qmsg create mode 100644 SLEA/db/wed.wsf create mode 100644 SLEA/decodeur1.vwf create mode 100644 SLEA/incremental_db/README create mode 100644 SLEA/incremental_db/compiled_partitions/Chronometre.root_partition.map.kpt create mode 100644 SLEA/sequenceur2.bdf create mode 100644 SLEA/sequenceur2.bsf create mode 100644 SLEA/sequenceur2.vwf create mode 100644 SLEA/serv_req_info.txt create mode 100644 SLEA/undo_redo.txt create mode 100644 images/chrono.PNG create mode 100644 images/seq2.PNG create mode 100644 images/sequenceur.png diff --git a/README.md b/README.md new file mode 100644 index 0000000..2466822 --- /dev/null +++ b/README.md @@ -0,0 +1,5 @@ +Nom + numéro de bin + +Les fichiers de simulation sont dans le répertoire SLEA. +Le fichier de projet est Chronometre.qpf. +Le fichier qui doit se trouver en `top hierarchy` est CHRONO.bdf. diff --git a/SLEA/BoutonPoussoir.bdf b/SLEA/BoutonPoussoir.bdf new file mode 100644 index 0000000..bb6c0cf --- /dev/null +++ b/SLEA/BoutonPoussoir.bdf @@ -0,0 +1,522 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +//#pragma file_not_in_maxplusii_format +(header "graphic" (version "1.3")) +(pin + (input) + (rect 384 272 552 288) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "BP" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 384 288 552 304) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "H" (rect 5 0 13 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 664 472 840 488) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "S" (rect 90 0 97 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 864 264 1040 280) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "BPs" (rect 90 0 110 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(symbol + (rect 760 376 824 424) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst4" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 528 416 592 496) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 68 26 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)(line_width 1)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 12 12)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 52 68)(line_width 1)) + (line (pt 52 68)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 12 12)(line_width 1)) + (line (pt 19 40)(pt 12 47)(line_width 1)) + (line (pt 12 32)(pt 20 40)(line_width 1)) + (circle (rect 28 4 36 12)(line_width 1)) + (circle (rect 28 68 36 76)(line_width 1)) + ) +) +(symbol + (rect 880 376 944 456) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst6" (rect 3 68 26 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)(line_width 1)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 12 12)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 52 68)(line_width 1)) + (line (pt 52 68)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 12 12)(line_width 1)) + (line (pt 19 40)(pt 12 47)(line_width 1)) + (line (pt 12 32)(pt 20 40)(line_width 1)) + (circle (rect 28 4 36 12)(line_width 1)) + (circle (rect 28 68 36 76)(line_width 1)) + ) +) +(symbol + (rect 408 416 472 464) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst7" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 520 344 584 392) + (text "NOR2" (rect 38 38 63 48)(font "Arial" (font_size 6))) + (text "inst3" (rect 38 -1 61 11)(font "Arial" )) + (port + (pt 64 16) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 45 13 62 25)(font "Courier New" (bold))(invisible)) + (line (pt 64 16)(pt 50 16)(line_width 1)) + ) + (port + (pt 64 32) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 45 29 62 41)(font "Courier New" (bold))(invisible)) + (line (pt 64 32)(pt 50 32)(line_width 1)) + ) + (port + (pt 0 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect -1 21 16 33)(font "Courier New" (bold))(invisible)) + (line (pt 10 24)(pt 0 24)(line_width 1)) + ) + (drawing + (line (pt 52 12)(pt 41 12)(line_width 1)) + (line (pt 52 35)(pt 41 35)(line_width 1)) + (arc (pt 18 24)(pt 41 35)(rect 11 -24 70 35)(line_width 1)) + (arc (pt 59 19)(pt 59 29)(rect 47 7 80 40)(line_width 1)) + (arc (pt 41 13)(pt 18 24)(rect 11 12 70 71)(line_width 1)) + (circle (rect 10 20 18 28)(line_width 1)) + ) + (rotate180) +) +(symbol + (rect 656 384 720 432) + (text "OR2" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst8" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 15 32)(line_width 1)) + ) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 15 16)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 48 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 36)(pt 25 36)(line_width 1)) + (line (pt 14 13)(pt 25 13)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) + ) +) +(symbol + (rect 720 256 784 336) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst" (rect 3 68 20 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)(line_width 1)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 12 12)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 52 68)(line_width 1)) + (line (pt 52 68)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 12 12)(line_width 1)) + (line (pt 19 40)(pt 12 47)(line_width 1)) + (line (pt 12 32)(pt 20 40)(line_width 1)) + (circle (rect 28 4 36 12)(line_width 1)) + (circle (rect 28 68 36 76)(line_width 1)) + ) +) +(symbol + (rect 600 264 648 296) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst1" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)(line_width 1)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)(line_width 1)) + ) + (drawing + (line (pt 13 25)(pt 13 7)(line_width 1)) + (line (pt 13 7)(pt 31 16)(line_width 1)) + (line (pt 13 25)(pt 31 16)(line_width 1)) + (circle (rect 31 12 39 20)(line_width 1)) + ) +) +(connector + (pt 640 360) + (pt 640 400) +) +(connector + (pt 640 400) + (pt 656 400) +) +(connector + (pt 520 368) + (pt 368 368) +) +(connector + (pt 584 376) + (pt 608 376) +) +(connector + (pt 608 416) + (pt 656 416) +) +(connector + (text "H" (rect 503 440 511 452)(font "Arial" )) + (pt 496 456) + (pt 528 456) +) +(connector + (text "BPs" (rect 384 416 404 428)(font "Arial" )) + (pt 408 432) + (pt 384 432) +) +(connector + (pt 368 448) + (pt 408 448) +) +(connector + (pt 368 368) + (pt 368 448) +) +(connector + (pt 472 440) + (pt 528 440) +) +(connector + (pt 720 408) + (pt 760 408) +) +(connector + (text "BPs" (rect 736 376 756 388)(font "Arial" )) + (pt 760 392) + (pt 736 392) +) +(connector + (text "H" (rect 862 400 870 412)(font "Arial" )) + (pt 880 416) + (pt 848 416) +) +(connector + (pt 960 400) + (pt 944 400) +) +(connector + (pt 960 360) + (pt 960 400) +) +(connector + (pt 824 400) + (pt 880 400) +) +(connector + (pt 664 480) + (pt 600 480) +) +(connector + (pt 600 480) + (pt 600 440) +) +(connector + (pt 584 360) + (pt 640 360) +) +(connector + (pt 640 360) + (pt 960 360) +) +(connector + (pt 608 376) + (pt 608 416) +) +(connector + (pt 608 416) + (pt 608 440) +) +(connector + (pt 592 440) + (pt 600 440) +) +(connector + (pt 600 440) + (pt 608 440) +) +(connector + (pt 792 272) + (pt 792 280) +) +(connector + (pt 864 272) + (pt 792 272) +) +(connector + (pt 792 280) + (pt 784 280) +) +(connector + (text "<<__$DEF_ALIAS364>>" (rect 560 264 678 276)(font "Arial" )(invisible)) + (pt 600 280) + (pt 552 280) +) +(connector + (pt 648 280) + (pt 720 280) +) +(connector + (text "<<__$DEF_ALIAS362>>" (rect 569 280 687 292)(font "Arial" )(invisible)) + (pt 552 296) + (pt 720 296) +) +(junction (pt 640 360)) +(junction (pt 608 416)) +(junction (pt 600 440)) diff --git a/SLEA/BoutonPoussoir.vwf b/SLEA/BoutonPoussoir.vwf new file mode 100644 index 0000000..bd515a9 --- /dev/null +++ b/SLEA/BoutonPoussoir.vwf @@ -0,0 +1,163 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 1000.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("BP") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("BPs") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("H") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("S") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("BP") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 130.0; + LEVEL 1 FOR 270.0; + LEVEL 0 FOR 600.0; + } +} + +TRANSITION_LIST("BPs") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 150.0; + LEVEL 1 FOR 300.0; + LEVEL 0 FOR 550.0; + } +} + +TRANSITION_LIST("H") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 10; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + } + } +} + +TRANSITION_LIST("S") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 250.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 650.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "H"; + EXPAND_STATUS = COLLAPSED; + RADIX = ASCII; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "BP"; + EXPAND_STATUS = COLLAPSED; + RADIX = ASCII; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "BPs"; + EXPAND_STATUS = COLLAPSED; + RADIX = ASCII; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "S"; + EXPAND_STATUS = COLLAPSED; + RADIX = ASCII; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 0; + MASTER = TRUE; +} +; diff --git a/SLEA/BoutonPoussoir2.bdf b/SLEA/BoutonPoussoir2.bdf new file mode 100644 index 0000000..fb63812 --- /dev/null +++ b/SLEA/BoutonPoussoir2.bdf @@ -0,0 +1,328 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +//#pragma file_not_in_maxplusii_format +(header "graphic" (version "1.3")) +(pin + (input) + (rect 592 360 760 376) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "BP" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 592 376 760 392) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "H" (rect 5 0 13 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 976 464 1152 480) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "S" (rect 90 0 97 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 1056 360 1232 376) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "BPs" (rect 90 0 110 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(symbol + (rect 728 456 792 536) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 68 26 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)(line_width 1)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 12 12)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 52 68)(line_width 1)) + (line (pt 52 68)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 12 12)(line_width 1)) + (line (pt 19 40)(pt 12 47)(line_width 1)) + (line (pt 12 32)(pt 20 40)(line_width 1)) + (circle (rect 28 4 36 12)(line_width 1)) + (circle (rect 28 68 36 76)(line_width 1)) + ) +) +(symbol + (rect 888 448 952 496) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst3" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 816 464 864 496) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst4" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)(line_width 1)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)(line_width 1)) + ) + (drawing + (line (pt 13 25)(pt 13 7)(line_width 1)) + (line (pt 13 7)(pt 31 16)(line_width 1)) + (line (pt 13 25)(pt 31 16)(line_width 1)) + (circle (rect 31 12 39 20)(line_width 1)) + ) +) +(symbol + (rect 912 344 976 424) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst" (rect 3 68 20 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)(line_width 1)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 12 12)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 52 68)(line_width 1)) + (line (pt 52 68)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 12 12)(line_width 1)) + (line (pt 19 40)(pt 12 47)(line_width 1)) + (line (pt 12 32)(pt 20 40)(line_width 1)) + (circle (rect 28 4 36 12)(line_width 1)) + (circle (rect 28 68 36 76)(line_width 1)) + ) +) +(symbol + (rect 808 352 856 384) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst1" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)(line_width 1)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)(line_width 1)) + ) + (drawing + (line (pt 13 25)(pt 13 7)(line_width 1)) + (line (pt 13 7)(pt 31 16)(line_width 1)) + (line (pt 13 25)(pt 31 16)(line_width 1)) + (circle (rect 31 12 39 20)(line_width 1)) + ) +) +(connector + (text "H" (rect 703 480 711 492)(font "Arial" )) + (pt 696 496) + (pt 728 496) +) +(connector + (pt 680 480) + (pt 680 440) +) +(connector + (pt 952 472) + (pt 976 472) +) +(connector + (pt 864 480) + (pt 888 480) +) +(connector + (pt 872 440) + (pt 680 440) +) +(connector + (pt 872 440) + (pt 872 464) +) +(connector + (pt 872 464) + (pt 888 464) +) +(connector + (pt 792 480) + (pt 816 480) +) +(connector + (pt 656 480) + (pt 680 480) +) +(connector + (text "BPs" (rect 697 464 717 476)(font "Arial" )) + (pt 680 480) + (pt 728 480) +) +(connector + (text "BPs" (rect 984 352 1004 364)(font "Arial" )) + (pt 1056 368) + (pt 976 368) +) +(connector + (pt 760 384) + (pt 912 384) +) +(connector + (pt 760 368) + (pt 808 368) +) +(connector + (pt 856 368) + (pt 912 368) +) +(junction (pt 680 480)) diff --git a/SLEA/BoutonPoussoir2.bsf b/SLEA/BoutonPoussoir2.bsf new file mode 100644 index 0000000..9f9bd57 --- /dev/null +++ b/SLEA/BoutonPoussoir2.bsf @@ -0,0 +1,57 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 112 112) + (text "BoutonPoussoir2" (rect 5 0 101 14)(font "Arial" (font_size 8))) + (text "inst" (rect 8 80 25 92)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "BP" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "BP" (rect 21 27 36 41)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 48) + (input) + (text "H" (rect 0 0 8 14)(font "Arial" (font_size 8))) + (text "H" (rect 21 43 29 57)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)(line_width 1)) + ) + (port + (pt 96 32) + (output) + (text "BPs" (rect 0 0 22 14)(font "Arial" (font_size 8))) + (text "BPs" (rect 53 27 75 41)(font "Arial" (font_size 8))) + (line (pt 96 32)(pt 80 32)(line_width 1)) + ) + (port + (pt 96 48) + (output) + (text "S" (rect 0 0 8 14)(font "Arial" (font_size 8))) + (text "S" (rect 67 43 75 57)(font "Arial" (font_size 8))) + (line (pt 96 48)(pt 80 48)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 80 80)(line_width 1)) + ) +) diff --git a/SLEA/BoutonPoussoir2.vwf b/SLEA/BoutonPoussoir2.vwf new file mode 100644 index 0000000..91b2270 --- /dev/null +++ b/SLEA/BoutonPoussoir2.vwf @@ -0,0 +1,169 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 1000.0; + SIMULATION_TIME = 1000.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 10.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("BP") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("BPs") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("H") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("S") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +TRANSITION_LIST("BP") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 130.0; + LEVEL 1 FOR 270.0; + LEVEL 0 FOR 110.0; + LEVEL 1 FOR 190.0; + LEVEL 0 FOR 300.0; + } +} + +TRANSITION_LIST("BPs") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 150.0; + LEVEL 1 FOR 300.0; + LEVEL 0 FOR 100.0; + LEVEL 1 FOR 200.0; + LEVEL 0 FOR 250.0; + } +} + +TRANSITION_LIST("H") +{ + NODE + { + REPEAT = 1; + NODE + { + REPEAT = 10; + LEVEL 0 FOR 50.0; + LEVEL 1 FOR 50.0; + } + } +} + +TRANSITION_LIST("S") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 150.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 300.0; + LEVEL 1 FOR 100.0; + LEVEL 0 FOR 350.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "H"; + EXPAND_STATUS = COLLAPSED; + RADIX = ASCII; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "BP"; + EXPAND_STATUS = COLLAPSED; + RADIX = ASCII; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "BPs"; + EXPAND_STATUS = COLLAPSED; + RADIX = ASCII; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "S"; + EXPAND_STATUS = COLLAPSED; + RADIX = ASCII; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 27175; + MASTER = TRUE; +} +; diff --git a/SLEA/CHRONO.bdf b/SLEA/CHRONO.bdf new file mode 100644 index 0000000..7756390 --- /dev/null +++ b/SLEA/CHRONO.bdf @@ -0,0 +1,893 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +//#pragma file_not_in_maxplusii_format +(header "graphic" (version "1.3")) +(pin + (input) + (rect 64 24 232 40) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "H" (rect 9 0 17 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 64 48 232 64) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "BP1" (rect 9 0 29 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 64 72 232 88) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "BP2" (rect 9 0 29 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 920 240 1096 256) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "A" (rect 90 0 97 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 920 256 1096 272) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "B" (rect 90 0 97 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 920 272 1096 288) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "C" (rect 90 0 98 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 920 288 1096 304) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "D" (rect 90 0 98 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 920 304 1096 320) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "E" (rect 90 0 97 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 920 320 1096 336) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "F" (rect 90 0 97 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 920 336 1096 352) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "G" (rect 90 0 98 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 920 128 1096 144) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "a1" (rect 90 0 101 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 920 144 1096 160) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "b1" (rect 90 0 101 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 920 160 1096 176) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "c1" (rect 90 0 101 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 920 192 1096 208) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "e1" (rect 90 0 101 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 920 208 1096 224) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "f1" (rect 90 0 100 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 920 224 1096 240) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "g1" (rect 90 0 101 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 920 176 1096 192) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "d1" (rect 90 0 101 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 64 136 240 152) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "pointSeconde" (rect 90 0 156 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 64 168 240 184) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "pointDixieme" (rect 90 0 153 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 56 216 232 232) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Count" (rect 90 0 119 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 56 248 232 264) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "Reset" (rect 90 0 119 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 56 288 232 304) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "BP1out" (rect 90 0 125 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(symbol + (rect 360 264 456 360) + (text "DiviseurDeFrequence" (rect 5 0 127 14)(font "Arial" (font_size 8))) + (text "inst1" (rect 8 80 31 92)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "H" (rect 0 0 8 14)(font "Arial" (font_size 8))) + (text "H" (rect 21 27 29 41)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 96 32) + (output) + (text "100Hz" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "100Hz" (rect 39 27 75 41)(font "Arial" (font_size 8))) + (line (pt 96 32)(pt 80 32)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 80 80)(line_width 1)) + ) +) +(symbol + (rect 728 104 904 424) + (text "CheminDeDonnees" (rect 5 0 112 14)(font "Arial" (font_size 8))) + (text "inst" (rect 8 304 25 316)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "H" (rect 0 0 8 14)(font "Arial" (font_size 8))) + (text "H" (rect 21 27 29 41)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 48) + (input) + (text "COUNT" (rect 0 0 41 14)(font "Arial" (font_size 8))) + (text "COUNT" (rect 21 43 62 57)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)(line_width 1)) + ) + (port + (pt 0 64) + (input) + (text "RESET" (rect 0 0 37 14)(font "Arial" (font_size 8))) + (text "RESET" (rect 21 59 58 73)(font "Arial" (font_size 8))) + (line (pt 0 64)(pt 16 64)(line_width 1)) + ) + (port + (pt 176 32) + (output) + (text "a1" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "a1" (rect 141 27 155 41)(font "Arial" (font_size 8))) + (line (pt 176 32)(pt 160 32)(line_width 1)) + ) + (port + (pt 176 48) + (output) + (text "b1" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "b1" (rect 141 43 155 57)(font "Arial" (font_size 8))) + (line (pt 176 48)(pt 160 48)(line_width 1)) + ) + (port + (pt 176 64) + (output) + (text "c1" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "c1" (rect 141 59 155 73)(font "Arial" (font_size 8))) + (line (pt 176 64)(pt 160 64)(line_width 1)) + ) + (port + (pt 176 80) + (output) + (text "d1" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "d1" (rect 141 75 155 89)(font "Arial" (font_size 8))) + (line (pt 176 80)(pt 160 80)(line_width 1)) + ) + (port + (pt 176 96) + (output) + (text "e1" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "e1" (rect 141 91 155 105)(font "Arial" (font_size 8))) + (line (pt 176 96)(pt 160 96)(line_width 1)) + ) + (port + (pt 176 112) + (output) + (text "f1" (rect 0 0 11 14)(font "Arial" (font_size 8))) + (text "f1" (rect 144 107 155 121)(font "Arial" (font_size 8))) + (line (pt 176 112)(pt 160 112)(line_width 1)) + ) + (port + (pt 176 128) + (output) + (text "g1" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "g1" (rect 141 123 155 137)(font "Arial" (font_size 8))) + (line (pt 176 128)(pt 160 128)(line_width 1)) + ) + (port + (pt 176 144) + (output) + (text "A" (rect 0 0 9 14)(font "Arial" (font_size 8))) + (text "A" (rect 146 139 155 153)(font "Arial" (font_size 8))) + (line (pt 176 144)(pt 160 144)(line_width 1)) + ) + (port + (pt 176 160) + (output) + (text "B" (rect 0 0 8 14)(font "Arial" (font_size 8))) + (text "B" (rect 147 155 155 169)(font "Arial" (font_size 8))) + (line (pt 176 160)(pt 160 160)(line_width 1)) + ) + (port + (pt 176 176) + (output) + (text "C" (rect 0 0 8 14)(font "Arial" (font_size 8))) + (text "C" (rect 147 171 155 185)(font "Arial" (font_size 8))) + (line (pt 176 176)(pt 160 176)(line_width 1)) + ) + (port + (pt 176 192) + (output) + (text "D" (rect 0 0 8 14)(font "Arial" (font_size 8))) + (text "D" (rect 147 187 155 201)(font "Arial" (font_size 8))) + (line (pt 176 192)(pt 160 192)(line_width 1)) + ) + (port + (pt 176 208) + (output) + (text "E" (rect 0 0 7 14)(font "Arial" (font_size 8))) + (text "E" (rect 148 203 155 217)(font "Arial" (font_size 8))) + (line (pt 176 208)(pt 160 208)(line_width 1)) + ) + (port + (pt 176 224) + (output) + (text "F" (rect 0 0 7 14)(font "Arial" (font_size 8))) + (text "F" (rect 148 219 155 233)(font "Arial" (font_size 8))) + (line (pt 176 224)(pt 160 224)(line_width 1)) + ) + (port + (pt 176 240) + (output) + (text "G" (rect 0 0 9 14)(font "Arial" (font_size 8))) + (text "G" (rect 146 235 155 249)(font "Arial" (font_size 8))) + (line (pt 176 240)(pt 160 240)(line_width 1)) + ) + (port + (pt 176 256) + (output) + (text "pointSeconde" (rect 0 0 77 14)(font "Arial" (font_size 8))) + (text "pointSeconde" (rect 78 251 155 265)(font "Arial" (font_size 8))) + (line (pt 176 256)(pt 160 256)(line_width 1)) + ) + (port + (pt 176 272) + (output) + (text "pointDixieme" (rect 0 0 70 14)(font "Arial" (font_size 8))) + (text "pointDixieme" (rect 85 267 155 281)(font "Arial" (font_size 8))) + (line (pt 176 272)(pt 160 272)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 160 304)(line_width 1)) + ) +) +(symbol + (rect 48 388 80 404) + (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 5 26 17)(font "Arial" )(invisible)) + (port + (pt 16 16) + (output) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (line (pt 16 16)(pt 16 8)(line_width 1)) + ) + (drawing + (line (pt 8 8)(pt 24 8)(line_width 1)) + ) +) +(symbol + (rect 168 428 200 460) + (text "GND" (rect 8 16 29 26)(font "Arial" (font_size 6))) + (text "inst6" (rect 3 21 26 33)(font "Arial" )(invisible)) + (port + (pt 16 0) + (output) + (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) + (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) + (line (pt 16 8)(pt 16 0)(line_width 1)) + ) + (drawing + (line (pt 8 8)(pt 16 16)(line_width 1)) + (line (pt 16 16)(pt 24 8)(line_width 1)) + (line (pt 8 8)(pt 24 8)(line_width 1)) + ) +) +(symbol + (rect 360 24 456 120) + (text "BoutonPoussoir2" (rect 5 0 101 14)(font "Arial" (font_size 8))) + (text "inst15" (rect 8 80 37 92)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "BP" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "BP" (rect 21 27 36 41)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 48) + (input) + (text "H" (rect 0 0 8 14)(font "Arial" (font_size 8))) + (text "H" (rect 21 43 29 57)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)(line_width 1)) + ) + (port + (pt 96 32) + (output) + (text "BPs" (rect 0 0 22 14)(font "Arial" (font_size 8))) + (text "BPs" (rect 53 27 75 41)(font "Arial" (font_size 8))) + (line (pt 96 32)(pt 80 32)(line_width 1)) + ) + (port + (pt 96 48) + (output) + (text "S" (rect 0 0 8 14)(font "Arial" (font_size 8))) + (text "S" (rect 67 43 75 57)(font "Arial" (font_size 8))) + (line (pt 96 48)(pt 80 48)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 80 80)(line_width 1)) + ) +) +(symbol + (rect 360 136 456 232) + (text "BoutonPoussoir2" (rect 5 0 101 14)(font "Arial" (font_size 8))) + (text "inst16" (rect 8 80 37 92)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "BP" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "BP" (rect 21 27 36 41)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 48) + (input) + (text "H" (rect 0 0 8 14)(font "Arial" (font_size 8))) + (text "H" (rect 21 43 29 57)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)(line_width 1)) + ) + (port + (pt 96 32) + (output) + (text "BPs" (rect 0 0 22 14)(font "Arial" (font_size 8))) + (text "BPs" (rect 53 27 75 41)(font "Arial" (font_size 8))) + (line (pt 96 32)(pt 80 32)(line_width 1)) + ) + (port + (pt 96 48) + (output) + (text "S" (rect 0 0 8 14)(font "Arial" (font_size 8))) + (text "S" (rect 67 43 75 57)(font "Arial" (font_size 8))) + (line (pt 96 48)(pt 80 48)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 80 80)(line_width 1)) + ) +) +(symbol + (rect 504 112 624 208) + (text "sequenceur2" (rect 5 0 80 14)(font "Arial" (font_size 8))) + (text "inst17" (rect 8 80 37 92)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "sbp1" (rect 0 0 28 14)(font "Arial" (font_size 8))) + (text "sbp1" (rect 21 27 49 41)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 48) + (input) + (text "sbp2" (rect 0 0 28 14)(font "Arial" (font_size 8))) + (text "sbp2" (rect 21 43 49 57)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)(line_width 1)) + ) + (port + (pt 0 64) + (input) + (text "H" (rect 0 0 8 14)(font "Arial" (font_size 8))) + (text "H" (rect 21 59 29 73)(font "Arial" (font_size 8))) + (line (pt 0 64)(pt 16 64)(line_width 1)) + ) + (port + (pt 120 32) + (output) + (text "COUNT" (rect 0 0 41 14)(font "Arial" (font_size 8))) + (text "COUNT" (rect 58 27 99 41)(font "Arial" (font_size 8))) + (line (pt 120 32)(pt 104 32)(line_width 1)) + ) + (port + (pt 120 48) + (output) + (text "RESET" (rect 0 0 37 14)(font "Arial" (font_size 8))) + (text "RESET" (rect 62 43 99 57)(font "Arial" (font_size 8))) + (line (pt 120 48)(pt 104 48)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 104 80)(line_width 1)) + ) +) +(connector + (text "100Hz" (rect 696 120 726 132)(font "Arial" )) + (pt 728 136) + (pt 688 136) +) +(connector + (pt 904 136) + (pt 920 136) +) +(connector + (pt 904 152) + (pt 920 152) +) +(connector + (pt 904 200) + (pt 920 200) +) +(connector + (pt 904 216) + (pt 920 216) +) +(connector + (pt 904 184) + (pt 920 184) +) +(connector + (pt 904 168) + (pt 920 168) +) +(connector + (pt 920 232) + (pt 904 232) +) +(connector + (pt 920 248) + (pt 904 248) +) +(connector + (pt 904 264) + (pt 920 264) +) +(connector + (pt 904 280) + (pt 920 280) +) +(connector + (pt 904 296) + (pt 920 296) +) +(connector + (pt 904 312) + (pt 920 312) +) +(connector + (pt 904 328) + (pt 920 328) +) +(connector + (pt 904 344) + (pt 920 344) +) +(connector + (text "GND" (rect 24 160 48 172)(font "Arial" )) + (pt 16 176) + (pt 64 176) +) +(connector + (text "VCC" (rect 24 128 47 140)(font "Arial" )) + (pt 16 144) + (pt 64 144) +) +(connector + (text "Count" (rect 16 208 45 220)(font "Arial" )) + (pt 8 224) + (pt 56 224) +) +(connector + (text "Reset" (rect 16 240 45 252)(font "Arial" )) + (pt 8 256) + (pt 56 256) +) +(connector + (text "BP1a" (rect 16 280 41 292)(font "Arial" )) + (pt 8 296) + (pt 56 296) +) +(connector + (text "VCC" (rect 114 382 126 405)(font "Arial" )(vertical)) + (pt 64 404) + (pt 64 412) +) +(connector + (pt 184 420) + (pt 232 420) +) +(connector + (text "GND" (rect 200 391 212 415)(font "Arial" )(vertical)) + (pt 184 428) + (pt 184 420) +) +(connector + (pt 64 412) + (pt 112 412) +) +(connector + (pt 720 152) + (pt 720 144) +) +(connector + (pt 728 152) + (pt 720 152) +) +(connector + (pt 712 168) + (pt 712 160) +) +(connector + (pt 728 168) + (pt 712 168) +) +(connector + (text "BP1" (rect 336 40 356 52)(font "Arial" )) + (pt 360 56) + (pt 328 56) +) +(connector + (text "100Hz" (rect 328 56 358 68)(font "Arial" )) + (pt 360 72) + (pt 328 72) +) +(connector + (text "BP2" (rect 344 152 364 164)(font "Arial" )) + (pt 360 168) + (pt 336 168) +) +(connector + (text "BP2a" (rect 464 168 489 180)(font "Arial" )) + (pt 456 184) + (pt 472 184) +) +(connector + (text "100Hz" (rect 336 168 366 180)(font "Arial" )) + (pt 336 184) + (pt 360 184) +) +(connector + (text "H" (rect 328 280 336 292)(font "Arial" )) + (pt 360 296) + (pt 320 296) +) +(connector + (pt 504 176) + (pt 496 176) +) +(connector + (pt 496 176) + (pt 496 296) +) +(connector + (pt 504 160) + (pt 472 160) +) +(connector + (pt 472 160) + (pt 472 184) +) +(connector + (text "BP1a" (rect 464 56 489 68)(font "Arial" )) + (pt 488 72) + (pt 456 72) +) +(connector + (pt 488 72) + (pt 488 144) +) +(connector + (pt 504 144) + (pt 488 144) +) +(connector + (pt 496 296) + (pt 512 296) +) +(connector + (text "100Hz" (rect 495 280 525 292)(font "Arial" )) + (pt 456 296) + (pt 496 296) +) +(connector + (pt 616 144) + (pt 624 144) +) +(connector + (text "Count" (rect 624 128 653 140)(font "Arial" )) + (pt 624 144) + (pt 720 144) +) +(connector + (pt 616 160) + (pt 624 160) +) +(connector + (text "Reset" (rect 624 144 653 156)(font "Arial" )) + (pt 624 160) + (pt 712 160) +) +(junction (pt 624 144)) +(junction (pt 624 160)) +(junction (pt 496 296)) diff --git a/SLEA/CheminDeDonnees.bdf b/SLEA/CheminDeDonnees.bdf new file mode 100644 index 0000000..803d1ba --- /dev/null +++ b/SLEA/CheminDeDonnees.bdf @@ -0,0 +1,1421 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +//#pragma file_not_in_maxplusii_format +(header "graphic" (version "1.3")) +(pin + (input) + (rect 112 0 280 16) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "H" (rect 5 0 13 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 64 16 112 32)) +) +(pin + (input) + (rect 72 520 240 536) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "RESET" (rect 5 0 40 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 72 488 240 504) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "COUNT" (rect 5 0 43 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "GND" (rect 136 7 157 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 176 152 352 168) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "A" (rect 90 0 97 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 352 168 392 184)) +) +(pin + (output) + (rect 176 168 352 184) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "B" (rect 90 0 97 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 352 184 392 200)) +) +(pin + (output) + (rect 176 184 352 200) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "C" (rect 90 0 98 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 352 200 392 216)) +) +(pin + (output) + (rect 176 200 352 216) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "D" (rect 90 0 98 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 352 216 392 232)) +) +(pin + (output) + (rect 176 216 352 232) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "E" (rect 90 0 97 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 352 232 400 248)) +) +(pin + (output) + (rect 176 232 352 248) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "F" (rect 90 0 97 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 352 248 400 264)) +) +(pin + (output) + (rect 176 248 352 264) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "G" (rect 90 0 98 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 352 264 400 280)) +) +(pin + (output) + (rect 176 32 352 48) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "a1" (rect 90 0 101 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 352 48 400 64)) +) +(pin + (output) + (rect 176 48 352 64) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "b1" (rect 90 0 101 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 352 64 400 80)) +) +(pin + (output) + (rect 176 64 352 80) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "c1" (rect 90 0 101 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 352 80 400 96)) +) +(pin + (output) + (rect 176 96 352 112) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "e1" (rect 90 0 101 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 352 112 400 128)) +) +(pin + (output) + (rect 176 112 352 128) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "f1" (rect 90 0 100 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 352 128 400 144)) +) +(pin + (output) + (rect 176 128 352 144) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "g1" (rect 90 0 101 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 352 144 400 160)) +) +(pin + (output) + (rect 176 80 352 96) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "d1" (rect 90 0 101 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 352 96 400 112)) +) +(pin + (output) + (rect 176 272 352 288) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "pointSeconde" (rect 90 0 156 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 352 288 400 304)) +) +(pin + (output) + (rect 176 288 352 304) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "pointDixieme" (rect 90 0 153 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 352 304 400 320)) +) +(symbol + (rect 520 344 624 520) + (text "74168" (rect 33 0 74 16)(font "Arial" (font_size 10))) + (text "inst1" (rect 3 165 26 177)(font "Arial" )) + (port + (pt 0 24) + (input) + (text "D0" (rect 20 18 35 32)(font "Arial" (font_size 8))) + (text "D0" (rect 20 18 35 32)(font "Arial" (font_size 8))) + (line (pt 0 24)(pt 16 24)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "D1" (rect 20 34 35 48)(font "Arial" (font_size 8))) + (text "D1" (rect 20 34 35 48)(font "Arial" (font_size 8))) + (line (pt 0 40)(pt 16 40)(line_width 1)) + ) + (port + (pt 0 56) + (input) + (text "D2" (rect 20 50 35 64)(font "Arial" (font_size 8))) + (text "D2" (rect 20 50 35 64)(font "Arial" (font_size 8))) + (line (pt 0 56)(pt 16 56)(line_width 1)) + ) + (port + (pt 0 72) + (input) + (text "D3" (rect 20 66 35 80)(font "Arial" (font_size 8))) + (text "D3" (rect 20 66 35 80)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 16 72)(line_width 1)) + ) + (port + (pt 0 88) + (input) + (text "U/DN" (rect 20 82 48 96)(font "Arial" (font_size 8))) + (text "U/DN" (rect 20 82 48 96)(font "Arial" (font_size 8))) + (line (pt 0 88)(pt 16 88)(line_width 1)) + ) + (port + (pt 0 120) + (input) + (text "ENPN" (rect 20 114 50 128)(font "Arial" (font_size 8))) + (text "ENPN" (rect 20 114 50 128)(font "Arial" (font_size 8))) + (line (pt 0 120)(pt 8 120)(line_width 1)) + ) + (port + (pt 0 152) + (input) + (text "CLK" (rect 20 144 43 158)(font "Arial" (font_size 8))) + (text "CLK" (rect 20 144 43 158)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 16 152)(line_width 1)) + ) + (port + (pt 0 104) + (input) + (text "ENTN" (rect 20 98 50 112)(font "Arial" (font_size 8))) + (text "ENTN" (rect 20 98 50 112)(font "Arial" (font_size 8))) + (line (pt 0 104)(pt 8 104)(line_width 1)) + ) + (port + (pt 0 136) + (input) + (text "LDN" (rect 20 130 43 144)(font "Arial" (font_size 8))) + (text "LDN" (rect 20 130 43 144)(font "Arial" (font_size 8))) + (line (pt 0 136)(pt 8 136)(line_width 1)) + ) + (port + (pt 104 104) + (output) + (text "Q3" (rect 69 97 87 112)(font "Arial" (font_size 9))) + (text "Q3" (rect 69 97 87 112)(font "Arial" (font_size 9))) + (line (pt 88 104)(pt 104 104)(line_width 1)) + ) + (port + (pt 104 120) + (output) + (text "TCN" (rect 61 112 90 127)(font "Arial" (font_size 9))) + (text "TCN" (rect 61 112 90 127)(font "Arial" (font_size 9))) + (line (pt 96 120)(pt 104 120)(line_width 1)) + ) + (port + (pt 104 72) + (output) + (text "Q1" (rect 68 64 86 79)(font "Arial" (font_size 9))) + (text "Q1" (rect 68 64 86 79)(font "Arial" (font_size 9))) + (line (pt 88 72)(pt 104 72)(line_width 1)) + ) + (port + (pt 104 56) + (output) + (text "Q0" (rect 68 49 86 64)(font "Arial" (font_size 9))) + (text "Q0" (rect 68 49 86 64)(font "Arial" (font_size 9))) + (line (pt 88 56)(pt 104 56)(line_width 1)) + ) + (port + (pt 104 88) + (output) + (text "Q2" (rect 68 81 86 96)(font "Arial" (font_size 9))) + (text "Q2" (rect 68 81 86 96)(font "Arial" (font_size 9))) + (line (pt 88 88)(pt 104 88)(line_width 1)) + ) + (drawing + (text "COUNTER" (rect 30 161 86 175)(font "Arial" (font_size 8))) + (line (pt 16 160)(pt 16 16)(line_width 1)) + (line (pt 88 160)(pt 88 16)(line_width 1)) + (line (pt 16 16)(pt 88 16)(line_width 1)) + (line (pt 16 160)(pt 88 160)(line_width 1)) + (circle (rect 8 100 16 108)(line_width 1)) + (circle (rect 8 116 16 124)(line_width 1)) + (circle (rect 8 132 16 140)(line_width 1)) + (circle (rect 88 116 96 124)(line_width 1)) + ) +) +(symbol + (rect 96 372 128 388) + (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 5 26 17)(font "Arial" )(invisible)) + (port + (pt 16 16) + (output) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (line (pt 16 16)(pt 16 8)(line_width 1)) + ) + (drawing + (line (pt 8 8)(pt 24 8)(line_width 1)) + ) +) +(symbol + (rect 216 412 248 444) + (text "GND" (rect 8 16 29 26)(font "Arial" (font_size 6))) + (text "inst6" (rect 3 21 26 33)(font "Arial" )(invisible)) + (port + (pt 16 0) + (output) + (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) + (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) + (line (pt 16 8)(pt 16 0)(line_width 1)) + ) + (drawing + (line (pt 8 8)(pt 16 16)(line_width 1)) + (line (pt 16 16)(pt 24 8)(line_width 1)) + (line (pt 8 8)(pt 24 8)(line_width 1)) + ) +) +(symbol + (rect 752 352 856 528) + (text "74168" (rect 33 0 74 16)(font "Arial" (font_size 10))) + (text "inst2" (rect 3 165 26 177)(font "Arial" )) + (port + (pt 0 24) + (input) + (text "D0" (rect 20 18 35 32)(font "Arial" (font_size 8))) + (text "D0" (rect 20 18 35 32)(font "Arial" (font_size 8))) + (line (pt 0 24)(pt 16 24)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "D1" (rect 20 34 35 48)(font "Arial" (font_size 8))) + (text "D1" (rect 20 34 35 48)(font "Arial" (font_size 8))) + (line (pt 0 40)(pt 16 40)(line_width 1)) + ) + (port + (pt 0 56) + (input) + (text "D2" (rect 20 50 35 64)(font "Arial" (font_size 8))) + (text "D2" (rect 20 50 35 64)(font "Arial" (font_size 8))) + (line (pt 0 56)(pt 16 56)(line_width 1)) + ) + (port + (pt 0 72) + (input) + (text "D3" (rect 20 66 35 80)(font "Arial" (font_size 8))) + (text "D3" (rect 20 66 35 80)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 16 72)(line_width 1)) + ) + (port + (pt 0 88) + (input) + (text "U/DN" (rect 20 82 48 96)(font "Arial" (font_size 8))) + (text "U/DN" (rect 20 82 48 96)(font "Arial" (font_size 8))) + (line (pt 0 88)(pt 16 88)(line_width 1)) + ) + (port + (pt 0 120) + (input) + (text "ENPN" (rect 20 114 50 128)(font "Arial" (font_size 8))) + (text "ENPN" (rect 20 114 50 128)(font "Arial" (font_size 8))) + (line (pt 0 120)(pt 8 120)(line_width 1)) + ) + (port + (pt 0 152) + (input) + (text "CLK" (rect 20 144 43 158)(font "Arial" (font_size 8))) + (text "CLK" (rect 20 144 43 158)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 16 152)(line_width 1)) + ) + (port + (pt 0 104) + (input) + (text "ENTN" (rect 20 98 50 112)(font "Arial" (font_size 8))) + (text "ENTN" (rect 20 98 50 112)(font "Arial" (font_size 8))) + (line (pt 0 104)(pt 8 104)(line_width 1)) + ) + (port + (pt 0 136) + (input) + (text "LDN" (rect 20 130 43 144)(font "Arial" (font_size 8))) + (text "LDN" (rect 20 130 43 144)(font "Arial" (font_size 8))) + (line (pt 0 136)(pt 8 136)(line_width 1)) + ) + (port + (pt 104 104) + (output) + (text "Q3" (rect 69 97 87 112)(font "Arial" (font_size 9))) + (text "Q3" (rect 69 97 87 112)(font "Arial" (font_size 9))) + (line (pt 88 104)(pt 104 104)(line_width 1)) + ) + (port + (pt 104 120) + (output) + (text "TCN" (rect 61 112 90 127)(font "Arial" (font_size 9))) + (text "TCN" (rect 61 112 90 127)(font "Arial" (font_size 9))) + (line (pt 96 120)(pt 104 120)(line_width 1)) + ) + (port + (pt 104 72) + (output) + (text "Q1" (rect 68 64 86 79)(font "Arial" (font_size 9))) + (text "Q1" (rect 68 64 86 79)(font "Arial" (font_size 9))) + (line (pt 88 72)(pt 104 72)(line_width 1)) + ) + (port + (pt 104 56) + (output) + (text "Q0" (rect 68 49 86 64)(font "Arial" (font_size 9))) + (text "Q0" (rect 68 49 86 64)(font "Arial" (font_size 9))) + (line (pt 88 56)(pt 104 56)(line_width 1)) + ) + (port + (pt 104 88) + (output) + (text "Q2" (rect 68 81 86 96)(font "Arial" (font_size 9))) + (text "Q2" (rect 68 81 86 96)(font "Arial" (font_size 9))) + (line (pt 88 88)(pt 104 88)(line_width 1)) + ) + (drawing + (text "COUNTER" (rect 30 161 86 175)(font "Arial" (font_size 8))) + (line (pt 16 160)(pt 16 16)(line_width 1)) + (line (pt 88 160)(pt 88 16)(line_width 1)) + (line (pt 16 16)(pt 88 16)(line_width 1)) + (line (pt 16 160)(pt 88 160)(line_width 1)) + (circle (rect 8 100 16 108)(line_width 1)) + (circle (rect 8 116 16 124)(line_width 1)) + (circle (rect 8 132 16 140)(line_width 1)) + (circle (rect 88 116 96 124)(line_width 1)) + ) +) +(symbol + (rect 704 120 824 280) + (text "7446" (rect 45 0 78 16)(font "Arial" (font_size 10))) + (text "inst4" (rect 3 149 26 161)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "D" (rect 22 65 30 79)(font "Arial" (font_size 8))) + (text "D" (rect 22 65 30 79)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 16 72)(line_width 1)) + ) + (port + (pt 0 56) + (input) + (text "C" (rect 22 49 30 63)(font "Arial" (font_size 8))) + (text "C" (rect 22 49 30 63)(font "Arial" (font_size 8))) + (line (pt 0 56)(pt 16 56)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "B" (rect 22 33 30 47)(font "Arial" (font_size 8))) + (text "B" (rect 22 33 30 47)(font "Arial" (font_size 8))) + (line (pt 0 40)(pt 16 40)(line_width 1)) + ) + (port + (pt 0 88) + (input) + (text "LTN" (rect 22 81 44 95)(font "Arial" (font_size 8))) + (text "LTN" (rect 22 81 44 95)(font "Arial" (font_size 8))) + (line (pt 0 88)(pt 8 88)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "A" (rect 22 17 31 31)(font "Arial" (font_size 8))) + (text "A" (rect 22 17 31 31)(font "Arial" (font_size 8))) + (line (pt 0 24)(pt 16 24)(line_width 1)) + ) + (port + (pt 0 104) + (input) + (text "RBIN" (rect 22 97 49 111)(font "Arial" (font_size 8))) + (text "RBIN" (rect 22 97 49 111)(font "Arial" (font_size 8))) + (line (pt 0 104)(pt 8 104)(line_width 1)) + ) + (port + (pt 0 120) + (input) + (text "BIN" (rect 22 113 40 127)(font "Arial" (font_size 8))) + (text "BIN" (rect 22 113 40 127)(font "Arial" (font_size 8))) + (line (pt 0 120)(pt 8 120)(line_width 1)) + ) + (port + (pt 120 56) + (output) + (text "OC" (rect 83 47 100 61)(font "Arial" (font_size 8))) + (text "OC" (rect 83 47 100 61)(font "Arial" (font_size 8))) + (line (pt 104 56)(pt 120 56)(line_width 1)) + ) + (port + (pt 120 72) + (output) + (text "OD" (rect 83 63 100 77)(font "Arial" (font_size 8))) + (text "OD" (rect 83 63 100 77)(font "Arial" (font_size 8))) + (line (pt 104 72)(pt 120 72)(line_width 1)) + ) + (port + (pt 120 88) + (output) + (text "OE" (rect 83 79 99 93)(font "Arial" (font_size 8))) + (text "OE" (rect 83 79 99 93)(font "Arial" (font_size 8))) + (line (pt 104 88)(pt 120 88)(line_width 1)) + ) + (port + (pt 120 136) + (output) + (text "RBON" (rect 67 127 101 141)(font "Arial" (font_size 8))) + (text "RBON" (rect 67 127 101 141)(font "Arial" (font_size 8))) + (line (pt 112 136)(pt 120 136)(line_width 1)) + ) + (port + (pt 120 24) + (output) + (text "OA" (rect 83 17 101 31)(font "Arial" (font_size 8))) + (text "OA" (rect 83 17 101 31)(font "Arial" (font_size 8))) + (line (pt 104 24)(pt 120 24)(line_width 1)) + ) + (port + (pt 120 40) + (output) + (text "OB" (rect 83 31 100 45)(font "Arial" (font_size 8))) + (text "OB" (rect 83 31 100 45)(font "Arial" (font_size 8))) + (line (pt 104 40)(pt 120 40)(line_width 1)) + ) + (port + (pt 120 104) + (output) + (text "OF" (rect 84 96 100 110)(font "Arial" (font_size 8))) + (text "OF" (rect 84 96 100 110)(font "Arial" (font_size 8))) + (line (pt 104 104)(pt 120 104)(line_width 1)) + ) + (port + (pt 120 120) + (output) + (text "OG" (rect 83 111 101 125)(font "Arial" (font_size 8))) + (text "OG" (rect 83 111 101 125)(font "Arial" (font_size 8))) + (line (pt 104 120)(pt 120 120)(line_width 1)) + ) + (drawing + (text "BCD TO 7SEG" (rect 28 145 108 159)(font "Arial" (font_size 8))) + (line (pt 16 144)(pt 104 144)(line_width 1)) + (line (pt 16 16)(pt 104 16)(line_width 1)) + (line (pt 104 144)(pt 104 16)(line_width 1)) + (line (pt 16 144)(pt 16 16)(line_width 1)) + (circle (rect 8 116 16 124)(line_width 1)) + (circle (rect 104 132 112 140)(line_width 1)) + (circle (rect 8 100 16 108)(line_width 1)) + (circle (rect 8 84 16 92)(line_width 1)) + ) +) +(symbol + (rect 1024 112 1144 272) + (text "7446" (rect 45 0 78 16)(font "Arial" (font_size 10))) + (text "inst7" (rect 3 149 26 161)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "D" (rect 22 65 30 79)(font "Arial" (font_size 8))) + (text "D" (rect 22 65 30 79)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 16 72)(line_width 1)) + ) + (port + (pt 0 56) + (input) + (text "C" (rect 22 49 30 63)(font "Arial" (font_size 8))) + (text "C" (rect 22 49 30 63)(font "Arial" (font_size 8))) + (line (pt 0 56)(pt 16 56)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "B" (rect 22 33 30 47)(font "Arial" (font_size 8))) + (text "B" (rect 22 33 30 47)(font "Arial" (font_size 8))) + (line (pt 0 40)(pt 16 40)(line_width 1)) + ) + (port + (pt 0 88) + (input) + (text "LTN" (rect 22 81 44 95)(font "Arial" (font_size 8))) + (text "LTN" (rect 22 81 44 95)(font "Arial" (font_size 8))) + (line (pt 0 88)(pt 8 88)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "A" (rect 22 17 31 31)(font "Arial" (font_size 8))) + (text "A" (rect 22 17 31 31)(font "Arial" (font_size 8))) + (line (pt 0 24)(pt 16 24)(line_width 1)) + ) + (port + (pt 0 104) + (input) + (text "RBIN" (rect 22 97 49 111)(font "Arial" (font_size 8))) + (text "RBIN" (rect 22 97 49 111)(font "Arial" (font_size 8))) + (line (pt 0 104)(pt 8 104)(line_width 1)) + ) + (port + (pt 0 120) + (input) + (text "BIN" (rect 22 113 40 127)(font "Arial" (font_size 8))) + (text "BIN" (rect 22 113 40 127)(font "Arial" (font_size 8))) + (line (pt 0 120)(pt 8 120)(line_width 1)) + ) + (port + (pt 120 56) + (output) + (text "OC" (rect 83 47 100 61)(font "Arial" (font_size 8))) + (text "OC" (rect 83 47 100 61)(font "Arial" (font_size 8))) + (line (pt 104 56)(pt 120 56)(line_width 1)) + ) + (port + (pt 120 72) + (output) + (text "OD" (rect 83 63 100 77)(font "Arial" (font_size 8))) + (text "OD" (rect 83 63 100 77)(font "Arial" (font_size 8))) + (line (pt 104 72)(pt 120 72)(line_width 1)) + ) + (port + (pt 120 88) + (output) + (text "OE" (rect 83 79 99 93)(font "Arial" (font_size 8))) + (text "OE" (rect 83 79 99 93)(font "Arial" (font_size 8))) + (line (pt 104 88)(pt 120 88)(line_width 1)) + ) + (port + (pt 120 136) + (output) + (text "RBON" (rect 67 127 101 141)(font "Arial" (font_size 8))) + (text "RBON" (rect 67 127 101 141)(font "Arial" (font_size 8))) + (line (pt 112 136)(pt 120 136)(line_width 1)) + ) + (port + (pt 120 24) + (output) + (text "OA" (rect 83 17 101 31)(font "Arial" (font_size 8))) + (text "OA" (rect 83 17 101 31)(font "Arial" (font_size 8))) + (line (pt 104 24)(pt 120 24)(line_width 1)) + ) + (port + (pt 120 40) + (output) + (text "OB" (rect 83 31 100 45)(font "Arial" (font_size 8))) + (text "OB" (rect 83 31 100 45)(font "Arial" (font_size 8))) + (line (pt 104 40)(pt 120 40)(line_width 1)) + ) + (port + (pt 120 104) + (output) + (text "OF" (rect 84 96 100 110)(font "Arial" (font_size 8))) + (text "OF" (rect 84 96 100 110)(font "Arial" (font_size 8))) + (line (pt 104 104)(pt 120 104)(line_width 1)) + ) + (port + (pt 120 120) + (output) + (text "OG" (rect 83 111 101 125)(font "Arial" (font_size 8))) + (text "OG" (rect 83 111 101 125)(font "Arial" (font_size 8))) + (line (pt 104 120)(pt 120 120)(line_width 1)) + ) + (drawing + (text "BCD TO 7SEG" (rect 28 145 108 159)(font "Arial" (font_size 8))) + (line (pt 16 144)(pt 104 144)(line_width 1)) + (line (pt 16 16)(pt 104 16)(line_width 1)) + (line (pt 104 144)(pt 104 16)(line_width 1)) + (line (pt 16 144)(pt 16 16)(line_width 1)) + (circle (rect 8 116 16 124)(line_width 1)) + (circle (rect 104 132 112 140)(line_width 1)) + (circle (rect 8 100 16 108)(line_width 1)) + (circle (rect 8 84 16 92)(line_width 1)) + ) +) +(symbol + (rect 352 344 456 520) + (text "74168" (rect 33 0 74 16)(font "Arial" (font_size 10))) + (text "inst8" (rect 3 165 26 177)(font "Arial" )) + (port + (pt 0 24) + (input) + (text "D0" (rect 20 18 35 32)(font "Arial" (font_size 8))) + (text "D0" (rect 20 18 35 32)(font "Arial" (font_size 8))) + (line (pt 0 24)(pt 16 24)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "D1" (rect 20 34 35 48)(font "Arial" (font_size 8))) + (text "D1" (rect 20 34 35 48)(font "Arial" (font_size 8))) + (line (pt 0 40)(pt 16 40)(line_width 1)) + ) + (port + (pt 0 56) + (input) + (text "D2" (rect 20 50 35 64)(font "Arial" (font_size 8))) + (text "D2" (rect 20 50 35 64)(font "Arial" (font_size 8))) + (line (pt 0 56)(pt 16 56)(line_width 1)) + ) + (port + (pt 0 72) + (input) + (text "D3" (rect 20 66 35 80)(font "Arial" (font_size 8))) + (text "D3" (rect 20 66 35 80)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 16 72)(line_width 1)) + ) + (port + (pt 0 88) + (input) + (text "U/DN" (rect 20 82 48 96)(font "Arial" (font_size 8))) + (text "U/DN" (rect 20 82 48 96)(font "Arial" (font_size 8))) + (line (pt 0 88)(pt 16 88)(line_width 1)) + ) + (port + (pt 0 120) + (input) + (text "ENPN" (rect 20 114 50 128)(font "Arial" (font_size 8))) + (text "ENPN" (rect 20 114 50 128)(font "Arial" (font_size 8))) + (line (pt 0 120)(pt 8 120)(line_width 1)) + ) + (port + (pt 0 152) + (input) + (text "CLK" (rect 20 144 43 158)(font "Arial" (font_size 8))) + (text "CLK" (rect 20 144 43 158)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 16 152)(line_width 1)) + ) + (port + (pt 0 104) + (input) + (text "ENTN" (rect 20 98 50 112)(font "Arial" (font_size 8))) + (text "ENTN" (rect 20 98 50 112)(font "Arial" (font_size 8))) + (line (pt 0 104)(pt 8 104)(line_width 1)) + ) + (port + (pt 0 136) + (input) + (text "LDN" (rect 20 130 43 144)(font "Arial" (font_size 8))) + (text "LDN" (rect 20 130 43 144)(font "Arial" (font_size 8))) + (line (pt 0 136)(pt 8 136)(line_width 1)) + ) + (port + (pt 104 104) + (output) + (text "Q3" (rect 69 97 87 112)(font "Arial" (font_size 9))) + (text "Q3" (rect 69 97 87 112)(font "Arial" (font_size 9))) + (line (pt 88 104)(pt 104 104)(line_width 1)) + ) + (port + (pt 104 120) + (output) + (text "TCN" (rect 61 112 90 127)(font "Arial" (font_size 9))) + (text "TCN" (rect 61 112 90 127)(font "Arial" (font_size 9))) + (line (pt 96 120)(pt 104 120)(line_width 1)) + ) + (port + (pt 104 72) + (output) + (text "Q1" (rect 68 64 86 79)(font "Arial" (font_size 9))) + (text "Q1" (rect 68 64 86 79)(font "Arial" (font_size 9))) + (line (pt 88 72)(pt 104 72)(line_width 1)) + ) + (port + (pt 104 56) + (output) + (text "Q0" (rect 68 49 86 64)(font "Arial" (font_size 9))) + (text "Q0" (rect 68 49 86 64)(font "Arial" (font_size 9))) + (line (pt 88 56)(pt 104 56)(line_width 1)) + ) + (port + (pt 104 88) + (output) + (text "Q2" (rect 68 81 86 96)(font "Arial" (font_size 9))) + (text "Q2" (rect 68 81 86 96)(font "Arial" (font_size 9))) + (line (pt 88 88)(pt 104 88)(line_width 1)) + ) + (drawing + (text "COUNTER" (rect 30 161 86 175)(font "Arial" (font_size 8))) + (line (pt 16 160)(pt 16 16)(line_width 1)) + (line (pt 88 160)(pt 88 16)(line_width 1)) + (line (pt 16 16)(pt 88 16)(line_width 1)) + (line (pt 16 160)(pt 88 160)(line_width 1)) + (circle (rect 8 100 16 108)(line_width 1)) + (circle (rect 8 116 16 124)(line_width 1)) + (circle (rect 8 132 16 140)(line_width 1)) + (circle (rect 88 116 96 124)(line_width 1)) + ) +) +(connector + (pt 352 448) + (pt 336 448) +) +(connector + (pt 336 448) + (pt 336 464) +) +(connector + (text "VCC" (rect 311 416 334 428)(font "Arial" )) + (pt 312 432) + (pt 352 432) +) +(connector + (text "100Hz" (rect 314 480 344 492)(font "Arial" )) + (pt 304 496) + (pt 352 496) +) +(connector + (pt 336 464) + (pt 352 464) +) +(connector + (text "VCC" (rect 160 368 172 391)(font "Arial" )(vertical)) + (pt 112 388) + (pt 112 396) +) +(connector + (pt 232 404) + (pt 280 404) +) +(connector + (text "GND" (rect 248 375 260 399)(font "Arial" )(vertical)) + (pt 232 412) + (pt 232 404) +) +(connector + (pt 112 396) + (pt 160 396) +) +(connector + (pt 176 88) + (pt 184 88) +) +(connector + (pt 184 72) + (pt 176 72) +) +(connector + (pt 184 72) + (pt 184 88) +) +(connector + (text "GND" (rect 136 280 160 292)(font "Arial" )) + (pt 176 296) + (pt 128 296) +) +(connector + (text "VCC" (rect 136 264 159 276)(font "Arial" )) + (pt 176 280) + (pt 128 280) +) +(connector + (text "VCC" (rect 479 416 502 428)(font "Arial" )) + (pt 480 432) + (pt 520 432) +) +(connector + (text "100Hz" (rect 480 480 510 492)(font "Arial" )) + (pt 520 496) + (pt 472 496) +) +(connector + (pt 488 448) + (pt 520 448) +) +(connector + (pt 488 464) + (pt 488 448) +) +(connector + (pt 456 464) + (pt 488 464) +) +(connector + (text "<<__$DEF_ALIAS708>>" (rect 480 448 598 460)(font "Arial" )(invisible)) + (pt 488 464) + (pt 520 464) +) +(connector + (pt 624 400) + (pt 632 400) +) +(connector + (pt 624 416) + (pt 648 416) +) +(connector + (pt 624 432) + (pt 664 432) +) +(connector + (pt 624 448) + (pt 680 448) +) +(connector + (pt 624 464) + (pt 688 464) +) +(connector + (text "VCC" (rect 711 424 734 436)(font "Arial" )) + (pt 712 440) + (pt 752 440) +) +(connector + (text "100Hz" (rect 713 488 743 500)(font "Arial" )) + (pt 712 504) + (pt 752 504) +) +(connector + (pt 688 456) + (pt 752 456) +) +(connector + (text "<<__$DEF_ALIAS706>>" (rect 624 456 742 468)(font "Arial" )(invisible)) + (pt 688 472) + (pt 752 472) +) +(connector + (pt 688 456) + (pt 688 464) +) +(connector + (pt 688 464) + (pt 688 472) +) +(connector + (pt 856 408) + (pt 896 408) +) +(connector + (pt 856 424) + (pt 912 424) +) +(connector + (pt 856 440) + (pt 928 440) +) +(connector + (pt 856 456) + (pt 944 456) +) +(connector + (text "A" (rect 1152 120 1159 132)(font "Arial" )) + (pt 1144 136) + (pt 1176 136) +) +(connector + (text "B" (rect 1152 136 1159 148)(font "Arial" )) + (pt 1144 152) + (pt 1176 152) +) +(connector + (text "C" (rect 1152 152 1160 164)(font "Arial" )) + (pt 1144 168) + (pt 1176 168) +) +(connector + (text "D" (rect 1152 168 1160 180)(font "Arial" )) + (pt 1144 184) + (pt 1176 184) +) +(connector + (text "E" (rect 1152 184 1159 196)(font "Arial" )) + (pt 1144 200) + (pt 1176 200) +) +(connector + (text "F" (rect 1152 200 1159 212)(font "Arial" )) + (pt 1144 216) + (pt 1176 216) +) +(connector + (text "G" (rect 1152 216 1160 228)(font "Arial" )) + (pt 1144 232) + (pt 1176 232) +) +(connector + (pt 896 136) + (pt 1024 136) +) +(connector + (pt 896 408) + (pt 896 136) +) +(connector + (pt 912 152) + (pt 1024 152) +) +(connector + (pt 912 424) + (pt 912 152) +) +(connector + (pt 928 168) + (pt 1024 168) +) +(connector + (pt 928 440) + (pt 928 168) +) +(connector + (pt 944 184) + (pt 1024 184) +) +(connector + (pt 944 456) + (pt 944 184) +) +(connector + (pt 992 200) + (pt 1024 200) +) +(connector + (text "VCC" (rect 832 192 855 204)(font "Arial" )) + (pt 992 216) + (pt 1024 216) +) +(connector + (pt 992 200) + (pt 992 216) +) +(connector + (pt 992 216) + (pt 992 232) +) +(connector + (pt 352 368) + (pt 320 368) +) +(connector + (pt 352 384) + (pt 320 384) +) +(connector + (pt 352 400) + (pt 320 400) +) +(connector + (text "GND" (rect 328 400 352 412)(font "Arial" )) + (pt 352 416) + (pt 320 416) +) +(connector + (pt 320 368) + (pt 320 384) +) +(connector + (pt 320 384) + (pt 320 400) +) +(connector + (pt 320 400) + (pt 320 416) +) +(connector + (text "GND" (rect 504 352 528 364)(font "Arial" )) + (pt 520 368) + (pt 496 368) +) +(connector + (pt 520 384) + (pt 496 384) +) +(connector + (pt 520 400) + (pt 496 400) +) +(connector + (pt 520 416) + (pt 496 416) +) +(connector + (pt 496 400) + (pt 496 416) +) +(connector + (pt 496 368) + (pt 496 384) +) +(connector + (pt 496 384) + (pt 496 400) +) +(connector + (pt 752 376) + (pt 728 376) +) +(connector + (pt 752 392) + (pt 728 392) +) +(connector + (pt 752 408) + (pt 728 408) +) +(connector + (pt 752 424) + (pt 728 424) +) +(connector + (pt 728 408) + (pt 728 424) +) +(connector + (pt 728 392) + (pt 728 408) +) +(connector + (text "GND" (rect 712 355 724 379)(font "Arial" )(vertical)) + (pt 728 376) + (pt 728 392) +) +(connector + (text "ldn" (rect 312 464 326 476)(font "Arial" )) + (pt 352 480) + (pt 304 480) +) +(connector + (text "ldn" (rect 480 464 494 476)(font "Arial" )) + (pt 520 480) + (pt 472 480) +) +(connector + (text "ldn" (rect 712 472 726 484)(font "Arial" )) + (pt 752 488) + (pt 704 488) +) +(connector + (text "cnt" (rect 312 448 327 460)(font "Arial" )) + (pt 304 464) + (pt 336 464) +) +(connector + (text "100Hz" (rect 288 -8 318 4)(font "Arial" )) + (pt 280 8) + (pt 304 8) +) +(connector + (pt 632 400) + (pt 632 144) +) +(connector + (pt 664 432) + (pt 664 176) +) +(connector + (pt 680 448) + (pt 680 192) +) +(connector + (text "d1" (rect 832 176 843 188)(font "Arial" )) + (pt 824 192) + (pt 872 192) +) +(connector + (text "c1" (rect 832 160 843 172)(font "Arial" )) + (pt 824 176) + (pt 872 176) +) +(connector + (text "b1" (rect 832 144 843 156)(font "Arial" )) + (pt 824 160) + (pt 872 160) +) +(connector + (text "e1" (rect 832 192 843 204)(font "Arial" )) + (pt 824 208) + (pt 872 208) +) +(connector + (text "a1" (rect 832 128 843 140)(font "Arial" )) + (pt 824 144) + (pt 872 144) +) +(connector + (text "f1" (rect 832 208 842 220)(font "Arial" )) + (pt 824 224) + (pt 872 224) +) +(connector + (text "g1" (rect 832 224 843 236)(font "Arial" )) + (pt 824 240) + (pt 872 240) +) +(connector + (pt 680 192) + (pt 704 192) +) +(connector + (pt 664 176) + (pt 704 176) +) +(connector + (pt 632 144) + (pt 704 144) +) +(connector + (pt 704 240) + (pt 696 240) +) +(connector + (pt 704 224) + (pt 696 224) +) +(connector + (pt 704 160) + (pt 648 160) +) +(connector + (pt 648 160) + (pt 648 416) +) +(connector + (pt 704 208) + (pt 696 208) +) +(connector + (text "VCC" (rect 688 196 700 219)(font "Arial" )(vertical)) + (pt 696 208) + (pt 696 224) +) +(connector + (pt 696 224) + (pt 696 240) +) +(connector + (text "VCC" (rect 992 216 1015 228)(font "Arial" )) + (pt 992 232) + (pt 1024 232) +) +(connector + (text "ldn" (rect 248 512 262 524)(font "Arial" )) + (pt 240 528) + (pt 256 528) +) +(connector + (text "cnt" (rect 248 480 263 492)(font "Arial" )) + (pt 240 496) + (pt 256 496) +) +(junction (pt 336 464)) +(junction (pt 488 464)) +(junction (pt 688 464)) +(junction (pt 992 216)) +(junction (pt 320 384)) +(junction (pt 320 400)) +(junction (pt 496 400)) +(junction (pt 496 384)) +(junction (pt 728 408)) +(junction (pt 728 392)) +(junction (pt 696 224)) diff --git a/SLEA/CheminDeDonnees.bsf b/SLEA/CheminDeDonnees.bsf new file mode 100644 index 0000000..3bf4e47 --- /dev/null +++ b/SLEA/CheminDeDonnees.bsf @@ -0,0 +1,162 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 192 336) + (text "CheminDeDonnees" (rect 5 0 112 14)(font "Arial" (font_size 8))) + (text "inst" (rect 8 304 25 316)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "H" (rect 0 0 8 14)(font "Arial" (font_size 8))) + (text "H" (rect 21 27 29 41)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 48) + (input) + (text "COUNT" (rect 0 0 41 14)(font "Arial" (font_size 8))) + (text "COUNT" (rect 21 43 62 57)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)(line_width 1)) + ) + (port + (pt 0 64) + (input) + (text "RESET" (rect 0 0 37 14)(font "Arial" (font_size 8))) + (text "RESET" (rect 21 59 58 73)(font "Arial" (font_size 8))) + (line (pt 0 64)(pt 16 64)(line_width 1)) + ) + (port + (pt 176 32) + (output) + (text "a1" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "a1" (rect 141 27 155 41)(font "Arial" (font_size 8))) + (line (pt 176 32)(pt 160 32)(line_width 1)) + ) + (port + (pt 176 48) + (output) + (text "b1" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "b1" (rect 141 43 155 57)(font "Arial" (font_size 8))) + (line (pt 176 48)(pt 160 48)(line_width 1)) + ) + (port + (pt 176 64) + (output) + (text "c1" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "c1" (rect 141 59 155 73)(font "Arial" (font_size 8))) + (line (pt 176 64)(pt 160 64)(line_width 1)) + ) + (port + (pt 176 80) + (output) + (text "d1" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "d1" (rect 141 75 155 89)(font "Arial" (font_size 8))) + (line (pt 176 80)(pt 160 80)(line_width 1)) + ) + (port + (pt 176 96) + (output) + (text "e1" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "e1" (rect 141 91 155 105)(font "Arial" (font_size 8))) + (line (pt 176 96)(pt 160 96)(line_width 1)) + ) + (port + (pt 176 112) + (output) + (text "f1" (rect 0 0 11 14)(font "Arial" (font_size 8))) + (text "f1" (rect 144 107 155 121)(font "Arial" (font_size 8))) + (line (pt 176 112)(pt 160 112)(line_width 1)) + ) + (port + (pt 176 128) + (output) + (text "g1" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "g1" (rect 141 123 155 137)(font "Arial" (font_size 8))) + (line (pt 176 128)(pt 160 128)(line_width 1)) + ) + (port + (pt 176 144) + (output) + (text "A" (rect 0 0 9 14)(font "Arial" (font_size 8))) + (text "A" (rect 146 139 155 153)(font "Arial" (font_size 8))) + (line (pt 176 144)(pt 160 144)(line_width 1)) + ) + (port + (pt 176 160) + (output) + (text "B" (rect 0 0 8 14)(font "Arial" (font_size 8))) + (text "B" (rect 147 155 155 169)(font "Arial" (font_size 8))) + (line (pt 176 160)(pt 160 160)(line_width 1)) + ) + (port + (pt 176 176) + (output) + (text "C" (rect 0 0 8 14)(font "Arial" (font_size 8))) + (text "C" (rect 147 171 155 185)(font "Arial" (font_size 8))) + (line (pt 176 176)(pt 160 176)(line_width 1)) + ) + (port + (pt 176 192) + (output) + (text "D" (rect 0 0 8 14)(font "Arial" (font_size 8))) + (text "D" (rect 147 187 155 201)(font "Arial" (font_size 8))) + (line (pt 176 192)(pt 160 192)(line_width 1)) + ) + (port + (pt 176 208) + (output) + (text "E" (rect 0 0 7 14)(font "Arial" (font_size 8))) + (text "E" (rect 148 203 155 217)(font "Arial" (font_size 8))) + (line (pt 176 208)(pt 160 208)(line_width 1)) + ) + (port + (pt 176 224) + (output) + (text "F" (rect 0 0 7 14)(font "Arial" (font_size 8))) + (text "F" (rect 148 219 155 233)(font "Arial" (font_size 8))) + (line (pt 176 224)(pt 160 224)(line_width 1)) + ) + (port + (pt 176 240) + (output) + (text "G" (rect 0 0 9 14)(font "Arial" (font_size 8))) + (text "G" (rect 146 235 155 249)(font "Arial" (font_size 8))) + (line (pt 176 240)(pt 160 240)(line_width 1)) + ) + (port + (pt 176 256) + (output) + (text "pointSeconde" (rect 0 0 77 14)(font "Arial" (font_size 8))) + (text "pointSeconde" (rect 78 251 155 265)(font "Arial" (font_size 8))) + (line (pt 176 256)(pt 160 256)(line_width 1)) + ) + (port + (pt 176 272) + (output) + (text "pointDixieme" (rect 0 0 70 14)(font "Arial" (font_size 8))) + (text "pointDixieme" (rect 85 267 155 281)(font "Arial" (font_size 8))) + (line (pt 176 272)(pt 160 272)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 160 304)(line_width 1)) + ) +) diff --git a/SLEA/CheminDeDonnées.bdf b/SLEA/CheminDeDonnées.bdf new file mode 100644 index 0000000..5e0d8fc --- /dev/null +++ b/SLEA/CheminDeDonnées.bdf @@ -0,0 +1,1110 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +//#pragma file_not_in_maxplusii_format +(header "graphic" (version "1.3")) +(pin + (input) + (rect 72 32 240 48) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "H" (rect 5 0 13 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 72 64 248 80) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "pin_name1" (rect 90 0 143 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(symbol + (rect 400 64 496 160) + (text "DiviseurDeFrequence" (rect 5 0 127 14)(font "Arial" (font_size 8))) + (text "inst" (rect 8 80 25 92)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "H" (rect 0 0 8 14)(font "Arial" (font_size 8))) + (text "H" (rect 21 27 29 41)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 96 32) + (output) + (text "100Hz" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "100Hz" (rect 39 27 75 41)(font "Arial" (font_size 8))) + (line (pt 96 32)(pt 80 32)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 80 80)(line_width 1)) + ) +) +(symbol + (rect 496 328 600 504) + (text "74168" (rect 33 0 74 16)(font "Arial" (font_size 10))) + (text "inst1" (rect 3 165 26 177)(font "Arial" )) + (port + (pt 0 24) + (input) + (text "D0" (rect 20 18 35 32)(font "Arial" (font_size 8))) + (text "D0" (rect 20 18 35 32)(font "Arial" (font_size 8))) + (line (pt 0 24)(pt 16 24)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "D1" (rect 20 34 35 48)(font "Arial" (font_size 8))) + (text "D1" (rect 20 34 35 48)(font "Arial" (font_size 8))) + (line (pt 0 40)(pt 16 40)(line_width 1)) + ) + (port + (pt 0 56) + (input) + (text "D2" (rect 20 50 35 64)(font "Arial" (font_size 8))) + (text "D2" (rect 20 50 35 64)(font "Arial" (font_size 8))) + (line (pt 0 56)(pt 16 56)(line_width 1)) + ) + (port + (pt 0 72) + (input) + (text "D3" (rect 20 66 35 80)(font "Arial" (font_size 8))) + (text "D3" (rect 20 66 35 80)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 16 72)(line_width 1)) + ) + (port + (pt 0 88) + (input) + (text "U/DN" (rect 20 82 48 96)(font "Arial" (font_size 8))) + (text "U/DN" (rect 20 82 48 96)(font "Arial" (font_size 8))) + (line (pt 0 88)(pt 16 88)(line_width 1)) + ) + (port + (pt 0 120) + (input) + (text "ENPN" (rect 20 114 50 128)(font "Arial" (font_size 8))) + (text "ENPN" (rect 20 114 50 128)(font "Arial" (font_size 8))) + (line (pt 0 120)(pt 8 120)(line_width 1)) + ) + (port + (pt 0 152) + (input) + (text "CLK" (rect 20 144 43 158)(font "Arial" (font_size 8))) + (text "CLK" (rect 20 144 43 158)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 16 152)(line_width 1)) + ) + (port + (pt 0 104) + (input) + (text "ENTN" (rect 20 98 50 112)(font "Arial" (font_size 8))) + (text "ENTN" (rect 20 98 50 112)(font "Arial" (font_size 8))) + (line (pt 0 104)(pt 8 104)(line_width 1)) + ) + (port + (pt 0 136) + (input) + (text "LDN" (rect 20 130 43 144)(font "Arial" (font_size 8))) + (text "LDN" (rect 20 130 43 144)(font "Arial" (font_size 8))) + (line (pt 0 136)(pt 8 136)(line_width 1)) + ) + (port + (pt 104 104) + (output) + (text "Q3" (rect 69 97 87 112)(font "Arial" (font_size 9))) + (text "Q3" (rect 69 97 87 112)(font "Arial" (font_size 9))) + (line (pt 88 104)(pt 104 104)(line_width 1)) + ) + (port + (pt 104 120) + (output) + (text "TCN" (rect 61 112 90 127)(font "Arial" (font_size 9))) + (text "TCN" (rect 61 112 90 127)(font "Arial" (font_size 9))) + (line (pt 96 120)(pt 104 120)(line_width 1)) + ) + (port + (pt 104 72) + (output) + (text "Q1" (rect 68 64 86 79)(font "Arial" (font_size 9))) + (text "Q1" (rect 68 64 86 79)(font "Arial" (font_size 9))) + (line (pt 88 72)(pt 104 72)(line_width 1)) + ) + (port + (pt 104 56) + (output) + (text "Q0" (rect 68 49 86 64)(font "Arial" (font_size 9))) + (text "Q0" (rect 68 49 86 64)(font "Arial" (font_size 9))) + (line (pt 88 56)(pt 104 56)(line_width 1)) + ) + (port + (pt 104 88) + (output) + (text "Q2" (rect 68 81 86 96)(font "Arial" (font_size 9))) + (text "Q2" (rect 68 81 86 96)(font "Arial" (font_size 9))) + (line (pt 88 88)(pt 104 88)(line_width 1)) + ) + (drawing + (text "COUNTER" (rect 30 161 86 175)(font "Arial" (font_size 8))) + (line (pt 16 160)(pt 16 16)(line_width 1)) + (line (pt 88 160)(pt 88 16)(line_width 1)) + (line (pt 16 16)(pt 88 16)(line_width 1)) + (line (pt 16 160)(pt 88 160)(line_width 1)) + (circle (rect 8 100 16 108)(line_width 1)) + (circle (rect 8 116 16 124)(line_width 1)) + (circle (rect 8 132 16 140)(line_width 1)) + (circle (rect 88 116 96 124)(line_width 1)) + ) +) +(symbol + (rect 112 116 144 132) + (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 5 26 17)(font "Arial" )(invisible)) + (port + (pt 16 16) + (output) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (line (pt 16 16)(pt 16 8)(line_width 1)) + ) + (drawing + (line (pt 8 8)(pt 24 8)(line_width 1)) + ) +) +(symbol + (rect 232 156 264 188) + (text "GND" (rect 8 16 29 26)(font "Arial" (font_size 6))) + (text "inst6" (rect 3 21 26 33)(font "Arial" )(invisible)) + (port + (pt 16 0) + (output) + (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) + (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) + (line (pt 16 8)(pt 16 0)(line_width 1)) + ) + (drawing + (line (pt 8 8)(pt 16 16)(line_width 1)) + (line (pt 16 16)(pt 24 8)(line_width 1)) + (line (pt 8 8)(pt 24 8)(line_width 1)) + ) +) +(symbol + (rect 744 328 848 504) + (text "74168" (rect 33 0 74 16)(font "Arial" (font_size 10))) + (text "inst2" (rect 3 165 26 177)(font "Arial" )) + (port + (pt 0 24) + (input) + (text "D0" (rect 20 18 35 32)(font "Arial" (font_size 8))) + (text "D0" (rect 20 18 35 32)(font "Arial" (font_size 8))) + (line (pt 0 24)(pt 16 24)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "D1" (rect 20 34 35 48)(font "Arial" (font_size 8))) + (text "D1" (rect 20 34 35 48)(font "Arial" (font_size 8))) + (line (pt 0 40)(pt 16 40)(line_width 1)) + ) + (port + (pt 0 56) + (input) + (text "D2" (rect 20 50 35 64)(font "Arial" (font_size 8))) + (text "D2" (rect 20 50 35 64)(font "Arial" (font_size 8))) + (line (pt 0 56)(pt 16 56)(line_width 1)) + ) + (port + (pt 0 72) + (input) + (text "D3" (rect 20 66 35 80)(font "Arial" (font_size 8))) + (text "D3" (rect 20 66 35 80)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 16 72)(line_width 1)) + ) + (port + (pt 0 88) + (input) + (text "U/DN" (rect 20 82 48 96)(font "Arial" (font_size 8))) + (text "U/DN" (rect 20 82 48 96)(font "Arial" (font_size 8))) + (line (pt 0 88)(pt 16 88)(line_width 1)) + ) + (port + (pt 0 120) + (input) + (text "ENPN" (rect 20 114 50 128)(font "Arial" (font_size 8))) + (text "ENPN" (rect 20 114 50 128)(font "Arial" (font_size 8))) + (line (pt 0 120)(pt 8 120)(line_width 1)) + ) + (port + (pt 0 152) + (input) + (text "CLK" (rect 20 144 43 158)(font "Arial" (font_size 8))) + (text "CLK" (rect 20 144 43 158)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 16 152)(line_width 1)) + ) + (port + (pt 0 104) + (input) + (text "ENTN" (rect 20 98 50 112)(font "Arial" (font_size 8))) + (text "ENTN" (rect 20 98 50 112)(font "Arial" (font_size 8))) + (line (pt 0 104)(pt 8 104)(line_width 1)) + ) + (port + (pt 0 136) + (input) + (text "LDN" (rect 20 130 43 144)(font "Arial" (font_size 8))) + (text "LDN" (rect 20 130 43 144)(font "Arial" (font_size 8))) + (line (pt 0 136)(pt 8 136)(line_width 1)) + ) + (port + (pt 104 104) + (output) + (text "Q3" (rect 69 97 87 112)(font "Arial" (font_size 9))) + (text "Q3" (rect 69 97 87 112)(font "Arial" (font_size 9))) + (line (pt 88 104)(pt 104 104)(line_width 1)) + ) + (port + (pt 104 120) + (output) + (text "TCN" (rect 61 112 90 127)(font "Arial" (font_size 9))) + (text "TCN" (rect 61 112 90 127)(font "Arial" (font_size 9))) + (line (pt 96 120)(pt 104 120)(line_width 1)) + ) + (port + (pt 104 72) + (output) + (text "Q1" (rect 68 64 86 79)(font "Arial" (font_size 9))) + (text "Q1" (rect 68 64 86 79)(font "Arial" (font_size 9))) + (line (pt 88 72)(pt 104 72)(line_width 1)) + ) + (port + (pt 104 56) + (output) + (text "Q0" (rect 68 49 86 64)(font "Arial" (font_size 9))) + (text "Q0" (rect 68 49 86 64)(font "Arial" (font_size 9))) + (line (pt 88 56)(pt 104 56)(line_width 1)) + ) + (port + (pt 104 88) + (output) + (text "Q2" (rect 68 81 86 96)(font "Arial" (font_size 9))) + (text "Q2" (rect 68 81 86 96)(font "Arial" (font_size 9))) + (line (pt 88 88)(pt 104 88)(line_width 1)) + ) + (drawing + (text "COUNTER" (rect 30 161 86 175)(font "Arial" (font_size 8))) + (line (pt 16 160)(pt 16 16)(line_width 1)) + (line (pt 88 160)(pt 88 16)(line_width 1)) + (line (pt 16 16)(pt 88 16)(line_width 1)) + (line (pt 16 160)(pt 88 160)(line_width 1)) + (circle (rect 8 100 16 108)(line_width 1)) + (circle (rect 8 116 16 124)(line_width 1)) + (circle (rect 8 132 16 140)(line_width 1)) + (circle (rect 88 116 96 124)(line_width 1)) + ) +) +(symbol + (rect 624 448 672 480) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst3" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)(line_width 1)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)(line_width 1)) + ) + (drawing + (line (pt 13 25)(pt 13 7)(line_width 1)) + (line (pt 13 7)(pt 31 16)(line_width 1)) + (line (pt 13 25)(pt 31 16)(line_width 1)) + (circle (rect 31 12 39 20)(line_width 1)) + ) +) +(symbol + (rect 744 104 864 264) + (text "7446" (rect 45 0 78 16)(font "Arial" (font_size 10))) + (text "inst4" (rect 3 149 26 161)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "D" (rect 22 65 30 79)(font "Arial" (font_size 8))) + (text "D" (rect 22 65 30 79)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 16 72)(line_width 1)) + ) + (port + (pt 0 56) + (input) + (text "C" (rect 22 49 30 63)(font "Arial" (font_size 8))) + (text "C" (rect 22 49 30 63)(font "Arial" (font_size 8))) + (line (pt 0 56)(pt 16 56)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "B" (rect 22 33 30 47)(font "Arial" (font_size 8))) + (text "B" (rect 22 33 30 47)(font "Arial" (font_size 8))) + (line (pt 0 40)(pt 16 40)(line_width 1)) + ) + (port + (pt 0 88) + (input) + (text "LTN" (rect 22 81 44 95)(font "Arial" (font_size 8))) + (text "LTN" (rect 22 81 44 95)(font "Arial" (font_size 8))) + (line (pt 0 88)(pt 8 88)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "A" (rect 22 17 31 31)(font "Arial" (font_size 8))) + (text "A" (rect 22 17 31 31)(font "Arial" (font_size 8))) + (line (pt 0 24)(pt 16 24)(line_width 1)) + ) + (port + (pt 0 104) + (input) + (text "RBIN" (rect 22 97 49 111)(font "Arial" (font_size 8))) + (text "RBIN" (rect 22 97 49 111)(font "Arial" (font_size 8))) + (line (pt 0 104)(pt 8 104)(line_width 1)) + ) + (port + (pt 0 120) + (input) + (text "BIN" (rect 22 113 40 127)(font "Arial" (font_size 8))) + (text "BIN" (rect 22 113 40 127)(font "Arial" (font_size 8))) + (line (pt 0 120)(pt 8 120)(line_width 1)) + ) + (port + (pt 120 56) + (output) + (text "OC" (rect 83 47 100 61)(font "Arial" (font_size 8))) + (text "OC" (rect 83 47 100 61)(font "Arial" (font_size 8))) + (line (pt 104 56)(pt 120 56)(line_width 1)) + ) + (port + (pt 120 72) + (output) + (text "OD" (rect 83 63 100 77)(font "Arial" (font_size 8))) + (text "OD" (rect 83 63 100 77)(font "Arial" (font_size 8))) + (line (pt 104 72)(pt 120 72)(line_width 1)) + ) + (port + (pt 120 88) + (output) + (text "OE" (rect 83 79 99 93)(font "Arial" (font_size 8))) + (text "OE" (rect 83 79 99 93)(font "Arial" (font_size 8))) + (line (pt 104 88)(pt 120 88)(line_width 1)) + ) + (port + (pt 120 136) + (output) + (text "RBON" (rect 67 127 101 141)(font "Arial" (font_size 8))) + (text "RBON" (rect 67 127 101 141)(font "Arial" (font_size 8))) + (line (pt 112 136)(pt 120 136)(line_width 1)) + ) + (port + (pt 120 24) + (output) + (text "OA" (rect 83 17 101 31)(font "Arial" (font_size 8))) + (text "OA" (rect 83 17 101 31)(font "Arial" (font_size 8))) + (line (pt 104 24)(pt 120 24)(line_width 1)) + ) + (port + (pt 120 40) + (output) + (text "OB" (rect 83 31 100 45)(font "Arial" (font_size 8))) + (text "OB" (rect 83 31 100 45)(font "Arial" (font_size 8))) + (line (pt 104 40)(pt 120 40)(line_width 1)) + ) + (port + (pt 120 104) + (output) + (text "OF" (rect 84 96 100 110)(font "Arial" (font_size 8))) + (text "OF" (rect 84 96 100 110)(font "Arial" (font_size 8))) + (line (pt 104 104)(pt 120 104)(line_width 1)) + ) + (port + (pt 120 120) + (output) + (text "OG" (rect 83 111 101 125)(font "Arial" (font_size 8))) + (text "OG" (rect 83 111 101 125)(font "Arial" (font_size 8))) + (line (pt 104 120)(pt 120 120)(line_width 1)) + ) + (drawing + (text "BCD TO 7SEG" (rect 28 145 108 159)(font "Arial" (font_size 8))) + (line (pt 16 144)(pt 104 144)(line_width 1)) + (line (pt 16 16)(pt 104 16)(line_width 1)) + (line (pt 104 144)(pt 104 16)(line_width 1)) + (line (pt 16 144)(pt 16 16)(line_width 1)) + (circle (rect 8 116 16 124)(line_width 1)) + (circle (rect 104 132 112 140)(line_width 1)) + (circle (rect 8 100 16 108)(line_width 1)) + (circle (rect 8 84 16 92)(line_width 1)) + ) +) +(symbol + (rect 1048 104 1168 264) + (text "7446" (rect 45 0 78 16)(font "Arial" (font_size 10))) + (text "inst7" (rect 3 149 26 161)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "D" (rect 22 65 30 79)(font "Arial" (font_size 8))) + (text "D" (rect 22 65 30 79)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 16 72)(line_width 1)) + ) + (port + (pt 0 56) + (input) + (text "C" (rect 22 49 30 63)(font "Arial" (font_size 8))) + (text "C" (rect 22 49 30 63)(font "Arial" (font_size 8))) + (line (pt 0 56)(pt 16 56)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "B" (rect 22 33 30 47)(font "Arial" (font_size 8))) + (text "B" (rect 22 33 30 47)(font "Arial" (font_size 8))) + (line (pt 0 40)(pt 16 40)(line_width 1)) + ) + (port + (pt 0 88) + (input) + (text "LTN" (rect 22 81 44 95)(font "Arial" (font_size 8))) + (text "LTN" (rect 22 81 44 95)(font "Arial" (font_size 8))) + (line (pt 0 88)(pt 8 88)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "A" (rect 22 17 31 31)(font "Arial" (font_size 8))) + (text "A" (rect 22 17 31 31)(font "Arial" (font_size 8))) + (line (pt 0 24)(pt 16 24)(line_width 1)) + ) + (port + (pt 0 104) + (input) + (text "RBIN" (rect 22 97 49 111)(font "Arial" (font_size 8))) + (text "RBIN" (rect 22 97 49 111)(font "Arial" (font_size 8))) + (line (pt 0 104)(pt 8 104)(line_width 1)) + ) + (port + (pt 0 120) + (input) + (text "BIN" (rect 22 113 40 127)(font "Arial" (font_size 8))) + (text "BIN" (rect 22 113 40 127)(font "Arial" (font_size 8))) + (line (pt 0 120)(pt 8 120)(line_width 1)) + ) + (port + (pt 120 56) + (output) + (text "OC" (rect 83 47 100 61)(font "Arial" (font_size 8))) + (text "OC" (rect 83 47 100 61)(font "Arial" (font_size 8))) + (line (pt 104 56)(pt 120 56)(line_width 1)) + ) + (port + (pt 120 72) + (output) + (text "OD" (rect 83 63 100 77)(font "Arial" (font_size 8))) + (text "OD" (rect 83 63 100 77)(font "Arial" (font_size 8))) + (line (pt 104 72)(pt 120 72)(line_width 1)) + ) + (port + (pt 120 88) + (output) + (text "OE" (rect 83 79 99 93)(font "Arial" (font_size 8))) + (text "OE" (rect 83 79 99 93)(font "Arial" (font_size 8))) + (line (pt 104 88)(pt 120 88)(line_width 1)) + ) + (port + (pt 120 136) + (output) + (text "RBON" (rect 67 127 101 141)(font "Arial" (font_size 8))) + (text "RBON" (rect 67 127 101 141)(font "Arial" (font_size 8))) + (line (pt 112 136)(pt 120 136)(line_width 1)) + ) + (port + (pt 120 24) + (output) + (text "OA" (rect 83 17 101 31)(font "Arial" (font_size 8))) + (text "OA" (rect 83 17 101 31)(font "Arial" (font_size 8))) + (line (pt 104 24)(pt 120 24)(line_width 1)) + ) + (port + (pt 120 40) + (output) + (text "OB" (rect 83 31 100 45)(font "Arial" (font_size 8))) + (text "OB" (rect 83 31 100 45)(font "Arial" (font_size 8))) + (line (pt 104 40)(pt 120 40)(line_width 1)) + ) + (port + (pt 120 104) + (output) + (text "OF" (rect 84 96 100 110)(font "Arial" (font_size 8))) + (text "OF" (rect 84 96 100 110)(font "Arial" (font_size 8))) + (line (pt 104 104)(pt 120 104)(line_width 1)) + ) + (port + (pt 120 120) + (output) + (text "OG" (rect 83 111 101 125)(font "Arial" (font_size 8))) + (text "OG" (rect 83 111 101 125)(font "Arial" (font_size 8))) + (line (pt 104 120)(pt 120 120)(line_width 1)) + ) + (drawing + (text "BCD TO 7SEG" (rect 28 145 108 159)(font "Arial" (font_size 8))) + (line (pt 16 144)(pt 104 144)(line_width 1)) + (line (pt 16 16)(pt 104 16)(line_width 1)) + (line (pt 104 144)(pt 104 16)(line_width 1)) + (line (pt 16 144)(pt 16 16)(line_width 1)) + (circle (rect 8 116 16 124)(line_width 1)) + (circle (rect 104 132 112 140)(line_width 1)) + (circle (rect 8 100 16 108)(line_width 1)) + (circle (rect 8 84 16 92)(line_width 1)) + ) +) +(symbol + (rect 240 328 344 504) + (text "74168" (rect 33 0 74 16)(font "Arial" (font_size 10))) + (text "inst8" (rect 3 165 26 177)(font "Arial" )) + (port + (pt 0 24) + (input) + (text "D0" (rect 20 18 35 32)(font "Arial" (font_size 8))) + (text "D0" (rect 20 18 35 32)(font "Arial" (font_size 8))) + (line (pt 0 24)(pt 16 24)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "D1" (rect 20 34 35 48)(font "Arial" (font_size 8))) + (text "D1" (rect 20 34 35 48)(font "Arial" (font_size 8))) + (line (pt 0 40)(pt 16 40)(line_width 1)) + ) + (port + (pt 0 56) + (input) + (text "D2" (rect 20 50 35 64)(font "Arial" (font_size 8))) + (text "D2" (rect 20 50 35 64)(font "Arial" (font_size 8))) + (line (pt 0 56)(pt 16 56)(line_width 1)) + ) + (port + (pt 0 72) + (input) + (text "D3" (rect 20 66 35 80)(font "Arial" (font_size 8))) + (text "D3" (rect 20 66 35 80)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 16 72)(line_width 1)) + ) + (port + (pt 0 88) + (input) + (text "U/DN" (rect 20 82 48 96)(font "Arial" (font_size 8))) + (text "U/DN" (rect 20 82 48 96)(font "Arial" (font_size 8))) + (line (pt 0 88)(pt 16 88)(line_width 1)) + ) + (port + (pt 0 120) + (input) + (text "ENPN" (rect 20 114 50 128)(font "Arial" (font_size 8))) + (text "ENPN" (rect 20 114 50 128)(font "Arial" (font_size 8))) + (line (pt 0 120)(pt 8 120)(line_width 1)) + ) + (port + (pt 0 152) + (input) + (text "CLK" (rect 20 144 43 158)(font "Arial" (font_size 8))) + (text "CLK" (rect 20 144 43 158)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 16 152)(line_width 1)) + ) + (port + (pt 0 104) + (input) + (text "ENTN" (rect 20 98 50 112)(font "Arial" (font_size 8))) + (text "ENTN" (rect 20 98 50 112)(font "Arial" (font_size 8))) + (line (pt 0 104)(pt 8 104)(line_width 1)) + ) + (port + (pt 0 136) + (input) + (text "LDN" (rect 20 130 43 144)(font "Arial" (font_size 8))) + (text "LDN" (rect 20 130 43 144)(font "Arial" (font_size 8))) + (line (pt 0 136)(pt 8 136)(line_width 1)) + ) + (port + (pt 104 104) + (output) + (text "Q3" (rect 69 97 87 112)(font "Arial" (font_size 9))) + (text "Q3" (rect 69 97 87 112)(font "Arial" (font_size 9))) + (line (pt 88 104)(pt 104 104)(line_width 1)) + ) + (port + (pt 104 120) + (output) + (text "TCN" (rect 61 112 90 127)(font "Arial" (font_size 9))) + (text "TCN" (rect 61 112 90 127)(font "Arial" (font_size 9))) + (line (pt 96 120)(pt 104 120)(line_width 1)) + ) + (port + (pt 104 72) + (output) + (text "Q1" (rect 68 64 86 79)(font "Arial" (font_size 9))) + (text "Q1" (rect 68 64 86 79)(font "Arial" (font_size 9))) + (line (pt 88 72)(pt 104 72)(line_width 1)) + ) + (port + (pt 104 56) + (output) + (text "Q0" (rect 68 49 86 64)(font "Arial" (font_size 9))) + (text "Q0" (rect 68 49 86 64)(font "Arial" (font_size 9))) + (line (pt 88 56)(pt 104 56)(line_width 1)) + ) + (port + (pt 104 88) + (output) + (text "Q2" (rect 68 81 86 96)(font "Arial" (font_size 9))) + (text "Q2" (rect 68 81 86 96)(font "Arial" (font_size 9))) + (line (pt 88 88)(pt 104 88)(line_width 1)) + ) + (drawing + (text "COUNTER" (rect 30 161 86 175)(font "Arial" (font_size 8))) + (line (pt 16 160)(pt 16 16)(line_width 1)) + (line (pt 88 160)(pt 88 16)(line_width 1)) + (line (pt 16 16)(pt 88 16)(line_width 1)) + (line (pt 16 160)(pt 88 160)(line_width 1)) + (circle (rect 8 100 16 108)(line_width 1)) + (circle (rect 8 116 16 124)(line_width 1)) + (circle (rect 8 132 16 140)(line_width 1)) + (circle (rect 88 116 96 124)(line_width 1)) + ) +) +(symbol + (rect 368 448 416 480) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst9" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)(line_width 1)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)(line_width 1)) + ) + (drawing + (line (pt 13 25)(pt 13 7)(line_width 1)) + (line (pt 13 7)(pt 31 16)(line_width 1)) + (line (pt 13 25)(pt 31 16)(line_width 1)) + (circle (rect 31 12 39 20)(line_width 1)) + ) +) +(connector + (text "H" (rect 360 80 368 92)(font "Arial" )) + (pt 400 96) + (pt 352 96) +) +(connector + (text "100Hz" (rect 504 80 534 92)(font "Arial" )) + (pt 496 96) + (pt 552 96) +) +(connector + (pt 128 140) + (pt 176 140) +) +(connector + (text "VCC" (rect 176 112 188 135)(font "Arial" )(vertical)) + (pt 128 132) + (pt 128 140) +) +(connector + (pt 248 148) + (pt 296 148) +) +(connector + (text "GND" (rect 264 119 276 143)(font "Arial" )(vertical)) + (pt 248 156) + (pt 248 148) +) +(connector + (pt 496 432) + (pt 480 432) +) +(connector + (pt 480 432) + (pt 480 448) +) +(connector + (text "VCC" (rect 455 400 478 412)(font "Arial" )) + (pt 456 416) + (pt 496 416) +) +(connector + (pt 600 448) + (pt 608 448) +) +(connector + (text "GND" (rect 456 432 480 444)(font "Arial" )) + (pt 448 448) + (pt 480 448) +) +(connector + (pt 480 448) + (pt 496 448) +) +(connector + (pt 600 384) + (pt 640 384) +) +(connector + (pt 600 400) + (pt 656 400) +) +(connector + (pt 600 416) + (pt 664 416) +) +(connector + (pt 600 432) + (pt 672 432) +) +(connector + (pt 744 432) + (pt 728 432) +) +(connector + (pt 728 432) + (pt 728 448) +) +(connector + (text "VCC" (rect 703 400 726 412)(font "Arial" )) + (pt 704 416) + (pt 744 416) +) +(connector + (text "GND" (rect 704 432 728 444)(font "Arial" )) + (pt 696 448) + (pt 728 448) +) +(connector + (pt 728 448) + (pt 744 448) +) +(connector + (pt 640 384) + (pt 640 128) +) +(connector + (pt 656 400) + (pt 656 144) +) +(connector + (pt 664 416) + (pt 664 160) +) +(connector + (pt 672 432) + (pt 672 176) +) +(connector + (pt 672 176) + (pt 744 176) +) +(connector + (pt 664 160) + (pt 744 160) +) +(connector + (pt 656 144) + (pt 744 144) +) +(connector + (pt 640 128) + (pt 744 128) +) +(connector + (pt 744 192) + (pt 728 192) +) +(connector + (text "VCC" (rect 696 192 719 204)(font "Arial" )) + (pt 744 208) + (pt 728 208) +) +(connector + (pt 728 208) + (pt 704 208) +) +(connector + (pt 744 224) + (pt 728 224) +) +(connector + (pt 728 192) + (pt 728 208) +) +(connector + (pt 728 208) + (pt 728 224) +) +(connector + (pt 848 384) + (pt 968 384) +) +(connector + (pt 848 400) + (pt 976 400) +) +(connector + (pt 848 416) + (pt 984 416) +) +(connector + (pt 848 432) + (pt 992 432) +) +(connector + (pt 968 384) + (pt 968 128) +) +(connector + (pt 976 400) + (pt 976 144) +) +(connector + (pt 984 416) + (pt 984 160) +) +(connector + (pt 1048 192) + (pt 1032 192) +) +(connector + (pt 1048 224) + (pt 1032 224) +) +(connector + (pt 992 176) + (pt 992 432) +) +(connector + (text "VCC" (rect 1008 192 1031 204)(font "Arial" )) + (pt 1008 208) + (pt 1032 208) +) +(connector + (pt 1032 208) + (pt 1048 208) +) +(connector + (pt 1032 192) + (pt 1032 208) +) +(connector + (pt 1032 208) + (pt 1032 224) +) +(connector + (pt 992 176) + (pt 1048 176) +) +(connector + (pt 984 160) + (pt 1048 160) +) +(connector + (pt 976 144) + (pt 1048 144) +) +(connector + (pt 968 128) + (pt 1048 128) +) +(connector + (text "a" (rect 872 112 877 124)(font "Arial" )) + (pt 864 128) + (pt 912 128) +) +(connector + (text "b" (rect 872 128 877 140)(font "Arial" )) + (pt 864 144) + (pt 912 144) +) +(connector + (text "c" (rect 872 144 877 156)(font "Arial" )) + (pt 864 160) + (pt 912 160) +) +(connector + (text "d" (rect 872 160 877 172)(font "Arial" )) + (pt 864 176) + (pt 912 176) +) +(connector + (text "e" (rect 872 176 877 188)(font "Arial" )) + (pt 864 192) + (pt 912 192) +) +(connector + (text "f" (rect 872 192 876 204)(font "Arial" )) + (pt 864 208) + (pt 912 208) +) +(connector + (text "g" (rect 872 208 877 220)(font "Arial" )) + (pt 864 224) + (pt 912 224) +) +(connector + (text "A" (rect 1176 112 1183 124)(font "Arial" )) + (pt 1168 128) + (pt 1200 128) +) +(connector + (text "B" (rect 1176 128 1183 140)(font "Arial" )) + (pt 1168 144) + (pt 1200 144) +) +(connector + (text "C" (rect 1176 144 1184 156)(font "Arial" )) + (pt 1168 160) + (pt 1200 160) +) +(connector + (text "D" (rect 1176 160 1184 172)(font "Arial" )) + (pt 1168 176) + (pt 1200 176) +) +(connector + (text "E" (rect 1176 176 1183 188)(font "Arial" )) + (pt 1168 192) + (pt 1200 192) +) +(connector + (text "F" (rect 1176 192 1183 204)(font "Arial" )) + (pt 1168 208) + (pt 1200 208) +) +(connector + (text "G" (rect 1176 208 1184 220)(font "Arial" )) + (pt 1168 224) + (pt 1200 224) +) +(connector + (text "VCC" (rect 200 448 223 460)(font "Arial" )) + (pt 240 464) + (pt 192 464) +) +(connector + (pt 240 432) + (pt 224 432) +) +(connector + (pt 224 432) + (pt 224 448) +) +(connector + (text "VCC" (rect 199 400 222 412)(font "Arial" )) + (pt 200 416) + (pt 240 416) +) +(connector + (text "GND" (rect 200 432 224 444)(font "Arial" )) + (pt 192 448) + (pt 224 448) +) +(connector + (pt 224 448) + (pt 240 448) +) +(connector + (pt 344 448) + (pt 360 448) +) +(connector + (text "100Hz" (rect 202 464 232 476)(font "Arial" )) + (pt 192 480) + (pt 240 480) +) +(connector + (text "100Hz" (rect 456 464 486 476)(font "Arial" )) + (pt 496 480) + (pt 448 480) +) +(connector + (pt 360 448) + (pt 360 464) +) +(connector + (pt 360 464) + (pt 368 464) +) +(connector + (pt 416 464) + (pt 496 464) +) +(connector + (pt 608 448) + (pt 608 464) +) +(connector + (pt 608 464) + (pt 624 464) +) +(connector + (text "VCC" (rect 704 448 727 460)(font "Arial" )) + (pt 672 464) + (pt 744 464) +) +(connector + (text "100Hz" (rect 705 464 735 476)(font "Arial" )) + (pt 704 480) + (pt 744 480) +) +(junction (pt 480 448)) +(junction (pt 728 448)) +(junction (pt 728 208)) +(junction (pt 1032 208)) +(junction (pt 224 448)) diff --git a/SLEA/Chronometre.asm.rpt b/SLEA/Chronometre.asm.rpt new file mode 100644 index 0000000..c46e4a5 --- /dev/null +++ b/SLEA/Chronometre.asm.rpt @@ -0,0 +1,125 @@ +Assembler report for Chronometre +Wed Jan 24 17:22:07 2018 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: E:/SLEA/Chronometre.sof + 6. Assembler Device Options: E:/SLEA/Chronometre.pof + 7. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Wed Jan 24 17:22:07 2018 ; +; Revision Name ; Chronometre ; +; Top-level Entity Name ; CHRONO ; +; Family ; FLEX10K ; +; Device ; EPF10K70RC240-4 ; ++-----------------------+---------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Assembler Settings ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Option ; Setting ; Default Value ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Use smart compilation ; On ; Off ; +; Compression mode ; Off ; Off ; +; Clock source for configuration device ; Internal ; Internal ; +; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; +; Divide clock frequency by ; 1 ; 1 ; +; Low-voltage mode ; On ; On ; +; Use configuration device ; On ; On ; +; Configuration device ; Auto ; Auto ; +; Configuration device auto user code ; Off ; Off ; +; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; +; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; +; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; +; Hexadecimal Output File start address ; 0 ; 0 ; +; Hexadecimal Output File count direction ; Up ; Up ; +; Release clears before tri-states ; Off ; Off ; +; Auto-restart configuration after error ; On ; On ; +; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; +; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; ++-----------------------------------------------------------------------------+----------+---------------+ + + ++---------------------------+ +; Assembler Generated Files ; ++---------------------------+ +; File Name ; ++---------------------------+ +; E:/SLEA/Chronometre.sof ; +; E:/SLEA/Chronometre.pof ; ++---------------------------+ + + ++---------------------------------------------------+ +; Assembler Device Options: E:/SLEA/Chronometre.sof ; ++----------------+----------------------------------+ +; Option ; Setting ; ++----------------+----------------------------------+ +; Device ; EPF10K70RC240-4 ; +; JTAG usercode ; 0x0000007F ; +; Checksum ; 0x0001F97E ; ++----------------+----------------------------------+ + + ++---------------------------------------------------+ +; Assembler Device Options: E:/SLEA/Chronometre.pof ; ++--------------------+------------------------------+ +; Option ; Setting ; ++--------------------+------------------------------+ +; Device ; EPC2 ; +; JTAG usercode ; 0x00000000 ; +; Checksum ; 0x018BE48A ; +; Compression Ratio ; 1 ; ++--------------------+------------------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus II Assembler + Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + Info: Processing started: Wed Jan 24 17:22:06 2018 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off Chronometre -c Chronometre +Info: Assembler is generating device programming files +Info: Quartus II Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 176 megabytes + Info: Processing ended: Wed Jan 24 17:22:08 2018 + Info: Elapsed time: 00:00:02 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/SLEA/Chronometre.cdf b/SLEA/Chronometre.cdf new file mode 100644 index 0000000..39cc573 --- /dev/null +++ b/SLEA/Chronometre.cdf @@ -0,0 +1,13 @@ +/* Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition */ +JedecChain; + FileRevision(JESD32A); + DefaultMfr(6E); + + P ActionCode(Cfg) + Device PartName(EPF10K70R240) Path("U:/SLEA/") File("Chronometre.sof") MfrSpec(OpMask(1)); + +ChainEnd; + +AlteraBegin; + ChainType(JTAG); +AlteraEnd; diff --git a/SLEA/Chronometre.done b/SLEA/Chronometre.done new file mode 100644 index 0000000..b40b549 --- /dev/null +++ b/SLEA/Chronometre.done @@ -0,0 +1 @@ +Wed Jan 24 17:22:11 2018 diff --git a/SLEA/Chronometre.dpf b/SLEA/Chronometre.dpf new file mode 100644 index 0000000..f0b3ecc --- /dev/null +++ b/SLEA/Chronometre.dpf @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/SLEA/Chronometre.fit.rpt b/SLEA/Chronometre.fit.rpt new file mode 100644 index 0000000..451e4fe --- /dev/null +++ b/SLEA/Chronometre.fit.rpt @@ -0,0 +1,788 @@ +Fitter report for Chronometre +Wed Jan 24 17:22:04 2018 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Fitter Device Options + 6. Input Pins + 7. Output Pins + 8. All Package Pins + 9. Control Signals + 10. Global & Other Fast Signals + 11. Carry Chains + 12. Non-Global High Fan-Out Signals + 13. Peripheral Signals + 14. LAB + 15. Local Routing Interconnect + 16. LAB External Interconnect + 17. Row Interconnect + 18. LAB Column Interconnect + 19. LAB Column Interconnect + 20. Fitter Resource Usage Summary + 21. Fitter Resource Utilization by Entity + 22. Delay Chain Summary + 23. Pin-Out File + 24. Fitter Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++----------------------------------------------------------------------+ +; Fitter Summary ; ++-----------------------+----------------------------------------------+ +; Fitter Status ; Successful - Wed Jan 24 17:22:04 2018 ; +; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; +; Revision Name ; Chronometre ; +; Top-level Entity Name ; CHRONO ; +; Family ; FLEX10K ; +; Device ; EPF10K70RC240-4 ; +; Timing Models ; Final ; +; Total logic elements ; 70 / 3,744 ( 2 % ) ; +; Total pins ; 22 / 189 ( 12 % ) ; +; Total memory bits ; 0 / 18,432 ( 0 % ) ; ++-----------------------+----------------------------------------------+ + + ++------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++------------------------------------------------------------+--------------------+--------------------+ +; Device ; EPF10K70RC240-4 ; ; +; Use smart compilation ; On ; Off ; +; Use TimeQuest Timing Analyzer ; Off ; Off ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate full fit report during ECO compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; On ; On ; +; Limit to One Fitting Attempt ; Off ; Off ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; Slow Slew Rate ; Off ; Off ; +; Auto Global Memory Control Signals ; Off ; Off ; +; Logic Cell Insertion - Individual Logic Cells ; On ; On ; +; Logic Cell Insertion - I/Os Fed By Carry or Cascade Chains ; On ; On ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Auto Global Clock ; On ; On ; +; Auto Global Output Enable ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; ++------------------------------------------------------------+--------------------+--------------------+ + + +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 2 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + ++-------------------------------------------------------------------------+ +; Fitter Device Options ; ++----------------------------------------------+--------------------------+ +; Option ; Setting ; ++----------------------------------------------+--------------------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Passive Serial ; +; nWS, nRS, nCS, CS ; Unreserved ; +; RDYnBUSY ; Unreserved ; +; Data[7..1] ; Unreserved ; +; Reserve all unused pins ; As output driving ground ; +; Base pin-out file on sameframe device ; Off ; ++----------------------------------------------+--------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++------+-------+-----+------+---------+--------+--------------+-------------------------+---------------+---------------+--------------+ +; Name ; Pin # ; Row ; Col. ; Fan-Out ; Global ; I/O Register ; Use Local Routing Input ; Power Up High ; Single-Pin CE ; I/O Standard ; ++------+-------+-----+------+---------+--------+--------------+-------------------------+---------------+---------------+--------------+ +; BP1 ; 28 ; D ; -- ; 1 ; no ; no ; no ; no ; no ; TTL ; +; BP2 ; 29 ; D ; -- ; 1 ; no ; no ; no ; no ; no ; TTL ; +; H ; 91 ; -- ; -- ; 3 ; yes ; no ; no ; no ; no ; TTL ; ++------+-------+-----+------+---------+--------+--------------+-------------------------+---------------+---------------+--------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++--------------+-------+-----+------+--------------+--------------------------+---------------+----------------+---------------+---------------+------------+---------------+--------------+ +; Name ; Pin # ; Row ; Col. ; I/O Register ; Use Local Routing Output ; Power Up High ; Slow Slew Rate ; Single-Pin OE ; Single-Pin CE ; Open Drain ; TRI Primitive ; I/O Standard ; ++--------------+-------+-----+------+--------------+--------------------------+---------------+----------------+---------------+---------------+------------+---------------+--------------+ +; A ; 6 ; A ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; TTL ; +; Count ; 48 ; H ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; TTL ; +; Reset ; 53 ; I ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; TTL ; +; B ; 7 ; A ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; TTL ; +; C ; 8 ; A ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; TTL ; +; D ; 9 ; A ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; TTL ; +; E ; 11 ; A ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; TTL ; +; F ; 12 ; B ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; TTL ; +; G ; 13 ; B ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; TTL ; +; a1 ; 17 ; B ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; TTL ; +; b1 ; 18 ; B ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; TTL ; +; c1 ; 19 ; C ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; TTL ; +; e1 ; 21 ; C ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; TTL ; +; f1 ; 23 ; C ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; TTL ; +; g1 ; 24 ; C ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; TTL ; +; d1 ; 20 ; C ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; TTL ; +; BP1out ; 45 ; G ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; TTL ; +; pointSeconde ; 25 ; D ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; TTL ; +; pointDixieme ; 14 ; B ; -- ; no ; no ; no ; no ; no ; no ; no ; no ; TTL ; ++--------------+-------+-----+------+--------------+--------------------------+---------------+----------------+---------------+---------------+------------+---------------+--------------+ + + ++-------------------------------------+ +; All Package Pins ; ++-------+--------------+--------------+ +; Pin # ; Usage ; I/O Standard ; ++-------+--------------+--------------+ +; 1 ; #TCK ; ; +; 2 ; ^CONF_DONE ; ; +; 3 ; ^nCEO ; ; +; 4 ; #TDO ; ; +; 5 ; VCC_INT ; ; +; 6 ; A ; TTL ; +; 7 ; B ; TTL ; +; 8 ; C ; TTL ; +; 9 ; D ; TTL ; +; 10 ; GND_INT ; ; +; 11 ; E ; TTL ; +; 12 ; F ; TTL ; +; 13 ; G ; TTL ; +; 14 ; pointDixieme ; TTL ; +; 15 ; GND* ; ; +; 16 ; VCC_INT ; ; +; 17 ; a1 ; TTL ; +; 18 ; b1 ; TTL ; +; 19 ; c1 ; TTL ; +; 20 ; d1 ; TTL ; +; 21 ; e1 ; TTL ; +; 22 ; GND_INT ; ; +; 23 ; f1 ; TTL ; +; 24 ; g1 ; TTL ; +; 25 ; pointSeconde ; TTL ; +; 26 ; GND* ; ; +; 27 ; VCC_INT ; ; +; 28 ; BP1 ; TTL ; +; 29 ; BP2 ; TTL ; +; 30 ; GND* ; ; +; 31 ; GND* ; ; +; 32 ; GND_INT ; ; +; 33 ; GND* ; ; +; 34 ; GND* ; ; +; 35 ; GND* ; ; +; 36 ; GND* ; ; +; 37 ; VCC_INT ; ; +; 38 ; GND* ; ; +; 39 ; GND* ; ; +; 40 ; GND* ; ; +; 41 ; GND* ; ; +; 42 ; GND_INT ; ; +; 43 ; GND* ; ; +; 44 ; GND* ; ; +; 45 ; BP1out ; TTL ; +; 46 ; GND* ; ; +; 47 ; VCC_INT ; ; +; 48 ; Count ; TTL ; +; 49 ; GND* ; ; +; 50 ; GND* ; ; +; 51 ; GND* ; ; +; 52 ; GND_INT ; ; +; 53 ; Reset ; TTL ; +; 54 ; GND* ; ; +; 55 ; GND* ; ; +; 56 ; GND* ; ; +; 57 ; VCC_INT ; ; +; 58 ; #TMS ; ; +; 59 ; #TRST ; ; +; 60 ; ^nSTATUS ; ; +; 61 ; GND* ; ; +; 62 ; GND* ; ; +; 63 ; GND* ; ; +; 64 ; GND* ; ; +; 65 ; GND* ; ; +; 66 ; GND* ; ; +; 67 ; GND* ; ; +; 68 ; GND* ; ; +; 69 ; GND_INT ; ; +; 70 ; GND* ; ; +; 71 ; GND* ; ; +; 72 ; GND* ; ; +; 73 ; GND* ; ; +; 74 ; GND* ; ; +; 75 ; GND* ; ; +; 76 ; GND* ; ; +; 77 ; VCC_INT ; ; +; 78 ; GND* ; ; +; 79 ; GND* ; ; +; 80 ; GND* ; ; +; 81 ; GND* ; ; +; 82 ; GND* ; ; +; 83 ; GND* ; ; +; 84 ; GND* ; ; +; 85 ; GND_INT ; ; +; 86 ; GND* ; ; +; 87 ; GND* ; ; +; 88 ; GND* ; ; +; 89 ; VCC_INT ; ; +; 90 ; GND+ ; ; +; 91 ; H ; TTL ; +; 92 ; GND+ ; ; +; 93 ; GND_INT ; ; +; 94 ; GND* ; ; +; 95 ; GND* ; ; +; 96 ; VCC_INT ; ; +; 97 ; GND* ; ; +; 98 ; GND* ; ; +; 99 ; GND* ; ; +; 100 ; GND* ; ; +; 101 ; GND* ; ; +; 102 ; GND* ; ; +; 103 ; GND* ; ; +; 104 ; GND_INT ; ; +; 105 ; GND* ; ; +; 106 ; GND* ; ; +; 107 ; GND* ; ; +; 108 ; GND* ; ; +; 109 ; GND* ; ; +; 110 ; GND* ; ; +; 111 ; GND* ; ; +; 112 ; VCC_INT ; ; +; 113 ; GND* ; ; +; 114 ; GND* ; ; +; 115 ; GND* ; ; +; 116 ; GND* ; ; +; 117 ; GND* ; ; +; 118 ; GND* ; ; +; 119 ; GND* ; ; +; 120 ; GND* ; ; +; 121 ; ^nCONFIG ; ; +; 122 ; VCC_INT ; ; +; 123 ; ^MSEL1 ; ; +; 124 ; ^MSEL0 ; ; +; 125 ; GND_INT ; ; +; 126 ; GND* ; ; +; 127 ; GND* ; ; +; 128 ; GND* ; ; +; 129 ; GND* ; ; +; 130 ; VCC_INT ; ; +; 131 ; GND* ; ; +; 132 ; GND* ; ; +; 133 ; GND* ; ; +; 134 ; GND* ; ; +; 135 ; GND_INT ; ; +; 136 ; GND* ; ; +; 137 ; GND* ; ; +; 138 ; GND* ; ; +; 139 ; GND* ; ; +; 140 ; VCC_INT ; ; +; 141 ; GND* ; ; +; 142 ; GND* ; ; +; 143 ; GND* ; ; +; 144 ; GND* ; ; +; 145 ; GND_INT ; ; +; 146 ; GND* ; ; +; 147 ; GND* ; ; +; 148 ; GND* ; ; +; 149 ; GND* ; ; +; 150 ; VCC_INT ; ; +; 151 ; GND* ; ; +; 152 ; GND* ; ; +; 153 ; GND* ; ; +; 154 ; GND* ; ; +; 155 ; GND_INT ; ; +; 156 ; GND* ; ; +; 157 ; GND* ; ; +; 158 ; GND* ; ; +; 159 ; GND* ; ; +; 160 ; VCC_INT ; ; +; 161 ; GND* ; ; +; 162 ; GND* ; ; +; 163 ; GND* ; ; +; 164 ; GND* ; ; +; 165 ; GND_INT ; ; +; 166 ; GND* ; ; +; 167 ; GND* ; ; +; 168 ; GND* ; ; +; 169 ; GND* ; ; +; 170 ; VCC_INT ; ; +; 171 ; GND* ; ; +; 172 ; GND* ; ; +; 173 ; GND* ; ; +; 174 ; GND* ; ; +; 175 ; GND* ; ; +; 176 ; GND_INT ; ; +; 177 ; #TDI ; ; +; 178 ; ^nCE ; ; +; 179 ; ^DCLK ; ; +; 180 ; ^DATA0 ; ; +; 181 ; GND* ; ; +; 182 ; GND* ; ; +; 183 ; GND* ; ; +; 184 ; GND* ; ; +; 185 ; GND* ; ; +; 186 ; GND* ; ; +; 187 ; GND* ; ; +; 188 ; GND* ; ; +; 189 ; VCC_INT ; ; +; 190 ; GND* ; ; +; 191 ; GND* ; ; +; 192 ; GND* ; ; +; 193 ; GND* ; ; +; 194 ; GND* ; ; +; 195 ; GND* ; ; +; 196 ; GND* ; ; +; 197 ; GND_INT ; ; +; 198 ; GND* ; ; +; 199 ; GND* ; ; +; 200 ; GND* ; ; +; 201 ; GND* ; ; +; 202 ; GND* ; ; +; 203 ; GND* ; ; +; 204 ; GND* ; ; +; 205 ; VCC_INT ; ; +; 206 ; GND* ; ; +; 207 ; GND* ; ; +; 208 ; GND* ; ; +; 209 ; GND* ; ; +; 210 ; GND+ ; ; +; 211 ; GND+ ; ; +; 212 ; GND+ ; ; +; 213 ; GND* ; ; +; 214 ; GND* ; ; +; 215 ; GND* ; ; +; 216 ; GND_INT ; ; +; 217 ; GND* ; ; +; 218 ; GND* ; ; +; 219 ; GND* ; ; +; 220 ; GND* ; ; +; 221 ; GND* ; ; +; 222 ; GND* ; ; +; 223 ; GND* ; ; +; 224 ; VCC_INT ; ; +; 225 ; GND* ; ; +; 226 ; GND* ; ; +; 227 ; GND* ; ; +; 228 ; GND* ; ; +; 229 ; GND* ; ; +; 230 ; GND* ; ; +; 231 ; GND* ; ; +; 232 ; GND_INT ; ; +; 233 ; GND* ; ; +; 234 ; GND* ; ; +; 235 ; GND* ; ; +; 236 ; GND* ; ; +; 237 ; GND* ; ; +; 238 ; GND* ; ; +; 239 ; GND* ; ; +; 240 ; GND* ; ; ++-------+--------------+--------------+ + + ++---------------------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++-------------------------------------------------------------------+---------+---------+--------------+--------------+ +; Name ; Pin # ; Fan-Out ; Usage ; Global Usage ; ++-------------------------------------------------------------------+---------+---------+--------------+--------------+ +; H ; 91 ; 3 ; Clock ; Pin ; +; DiviseurDeFrequence:inst1|7456:inst7|5 ; LC1_H41 ; 18 ; Clock ; Non-global ; +; DiviseurDeFrequence:inst1|7456:inst7|3 ; LC3_H41 ; 3 ; Clock enable ; Non-global ; +; DiviseurDeFrequence:inst1|inst10 ; LC1_H27 ; 18 ; Clock ; Internal ; +; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|302~5_wirecell ; LC3_H27 ; 7 ; Sync. clear ; Non-global ; ++-------------------------------------------------------------------+---------+---------+--------------+--------------+ + + ++---------------------------------------------------------------+ +; Global & Other Fast Signals ; ++----------------------------------+---------+---------+--------+ +; Name ; Pin # ; Fan-Out ; Global ; ++----------------------------------+---------+---------+--------+ +; H ; 91 ; 3 ; yes ; +; DiviseurDeFrequence:inst1|inst10 ; LC1_H27 ; 18 ; yes ; ++----------------------------------+---------+---------+--------+ + + ++---------------------------------------------+ +; Carry Chains ; ++--------------------+------------------------+ +; Carry Chain Length ; Number of Carry Chains ; ++--------------------+------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 0 ; +; 17 ; 1 ; ++--------------------+------------------------+ + + ++-----------------------------------------------------------------------------+ +; Non-Global High Fan-Out Signals ; ++-------------------------------------------------------------------+---------+ +; Name ; Fan-Out ; ++-------------------------------------------------------------------+---------+ +; DiviseurDeFrequence:inst1|7456:inst7|5~0 ; 18 ; +; CheminDeDonnees:inst|74168:inst2|3~0 ; 12 ; +; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|302~8 ; 11 ; +; CheminDeDonnees:inst|74168:inst1|49~0 ; 11 ; +; BoutonPoussoir2:inst15|inst3~0 ; 11 ; +; CheminDeDonnees:inst|74168:inst1|3~0 ; 11 ; +; sequenceur2:inst17|inst3~0 ; 10 ; +; CheminDeDonnees:inst|74168:inst1|15~0 ; 10 ; +; CheminDeDonnees:inst|74168:inst2|15~0 ; 10 ; +; CheminDeDonnees:inst|74168:inst1|29~0 ; 9 ; +; BoutonPoussoir2:inst15|inst~1 ; 9 ; +; CheminDeDonnees:inst|74168:inst2|29~0 ; 9 ; +; CheminDeDonnees:inst|74168:inst8|3~0 ; 8 ; +; BoutonPoussoir2:inst15|inst5~1 ; 8 ; +; CheminDeDonnees:inst|74168:inst2|49~0 ; 8 ; +; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|302~5_wirecell ; 7 ; +; CheminDeDonnees:inst|74168:inst1|77~1 ; 5 ; +; CheminDeDonnees:inst|74168:inst8|49~0 ; 5 ; +; CheminDeDonnees:inst|74168:inst8|15~0 ; 3 ; +; CheminDeDonnees:inst|74168:inst1|77~2 ; 3 ; +; DiviseurDeFrequence:inst1|7456:inst7|3~0 ; 3 ; +; CheminDeDonnees:inst|74168:inst8|29~0 ; 2 ; +; CheminDeDonnees:inst|74168:inst8|50~4 ; 2 ; +; CheminDeDonnees:inst|74168:inst8|77~0 ; 2 ; +; CheminDeDonnees:inst|74168:inst2|50~4 ; 2 ; +; CheminDeDonnees:inst|74168:inst1|50~4 ; 2 ; +; DiviseurDeFrequence:inst1|7456:inst7|4~1 ; 2 ; +; BoutonPoussoir2:inst16|inst~1 ; 2 ; +; BP2 ; 1 ; +; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|248 ; 1 ; +; CheminDeDonnees:inst|7446:inst4|99~1 ; 1 ; +; CheminDeDonnees:inst|74168:inst2|27~2 ; 1 ; +; CheminDeDonnees:inst|74168:inst2|11~2 ; 1 ; +; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|302 ; 1 ; +; CheminDeDonnees:inst|7446:inst4|96~1 ; 1 ; +; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|247 ; 1 ; +; CheminDeDonnees:inst|7446:inst7|102~0 ; 1 ; +; CheminDeDonnees:inst|7446:inst4|98~0 ; 1 ; +; CheminDeDonnees:inst|7446:inst7|101~1 ; 1 ; +; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|247 ; 1 ; +; CheminDeDonnees:inst|7446:inst7|100~0 ; 1 ; +; CheminDeDonnees:inst|74168:inst1|27~2 ; 1 ; +; CheminDeDonnees:inst|7446:inst7|99~1 ; 1 ; +; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|245 ; 1 ; +; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|248 ; 1 ; +; CheminDeDonnees:inst|7446:inst7|98~0 ; 1 ; +; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|249 ; 1 ; +; BoutonPoussoir2:inst15|inst3~1 ; 1 ; +; CheminDeDonnees:inst|74168:inst1|11~2 ; 1 ; +; CheminDeDonnees:inst|7446:inst4|102~0 ; 1 ; ++-------------------------------------------------------------------+---------+ + + ++-------------------------------------------------------------------------------------------------------------+ +; Peripheral Signals ; ++----------------------------------+---------+-------+-----------------+---------------------------+----------+ +; Peripheral Signal ; Source ; Usage ; Dedicated Clock ; Peripheral Control Signal ; Polarity ; ++----------------------------------+---------+-------+-----------------+---------------------------+----------+ +; DiviseurDeFrequence:inst1|inst10 ; LC1_H27 ; Clock ; no ; yes ; +ve ; ++----------------------------------+---------+-------+-----------------+---------------------------+----------+ + + ++-------------------------------------------+ +; LAB ; ++--------------------------+----------------+ +; Number of Logic Elements ; Number of LABs ; ++--------------------------+----------------+ +; 0 ; 453 ; +; 1 ; 3 ; +; 2 ; 1 ; +; 3 ; 2 ; +; 4 ; 0 ; +; 5 ; 3 ; +; 6 ; 1 ; +; 7 ; 2 ; +; 8 ; 3 ; ++--------------------------+----------------+ + + ++----------------------------------------------+ +; Local Routing Interconnect ; ++-----------------------------+----------------+ +; Local Routing Interconnects ; Number of LABs ; ++-----------------------------+----------------+ +; 0 ; 457 ; +; 1 ; 3 ; +; 2 ; 3 ; +; 3 ; 1 ; +; 4 ; 0 ; +; 5 ; 2 ; +; 6 ; 1 ; +; 7 ; 1 ; ++-----------------------------+----------------+ + + ++---------------------------------------------+ +; LAB External Interconnect ; ++----------------------------+----------------+ +; LAB External Interconnects ; Number of LABs ; ++----------------------------+----------------+ +; 0 ; 454 ; +; 1 ; 2 ; +; 2 ; 3 ; +; 3 ; 1 ; +; 4 ; 3 ; +; 5 ; 1 ; +; 6 ; 2 ; +; 7 ; 1 ; +; 8 ; 1 ; ++----------------------------+----------------+ + + ++------------------------------------------------------------------------------------------+ +; Row Interconnect ; ++-------+---------------------+-----------------------------+------------------------------+ +; Row ; Interconnect Used ; Left Half Interconnect Used ; Right Half Interconnect Used ; ++-------+---------------------+-----------------------------+------------------------------+ +; A ; 0 / 208 ( 0 % ) ; 0 / 104 ( 0 % ) ; 5 / 104 ( 5 % ) ; +; B ; 0 / 208 ( 0 % ) ; 0 / 104 ( 0 % ) ; 4 / 104 ( 4 % ) ; +; C ; 1 / 208 ( < 1 % ) ; 0 / 104 ( 0 % ) ; 5 / 104 ( 5 % ) ; +; D ; 2 / 208 ( < 1 % ) ; 0 / 104 ( 0 % ) ; 0 / 104 ( 0 % ) ; +; E ; 0 / 208 ( 0 % ) ; 0 / 104 ( 0 % ) ; 0 / 104 ( 0 % ) ; +; F ; 0 / 208 ( 0 % ) ; 0 / 104 ( 0 % ) ; 0 / 104 ( 0 % ) ; +; G ; 0 / 208 ( 0 % ) ; 0 / 104 ( 0 % ) ; 1 / 104 ( < 1 % ) ; +; H ; 1 / 208 ( < 1 % ) ; 0 / 104 ( 0 % ) ; 25 / 104 ( 24 % ) ; +; I ; 0 / 208 ( 0 % ) ; 0 / 104 ( 0 % ) ; 1 / 104 ( < 1 % ) ; +; Total ; 4 / 1872 ( < 1 % ) ; 0 / 936 ( 0 % ) ; 41 / 936 ( 4 % ) ; ++-------+---------------------+-----------------------------+------------------------------+ + + ++----------------------------+ +; LAB Column Interconnect ; ++-------+--------------------+ +; Col. ; Interconnect Used ; ++-------+--------------------+ +; 1 ; 0 / 24 ( 0 % ) ; +; 2 ; 0 / 24 ( 0 % ) ; +; 3 ; 0 / 24 ( 0 % ) ; +; 4 ; 0 / 24 ( 0 % ) ; +; 5 ; 0 / 24 ( 0 % ) ; +; 6 ; 0 / 24 ( 0 % ) ; +; 7 ; 0 / 24 ( 0 % ) ; +; 8 ; 0 / 24 ( 0 % ) ; +; 9 ; 0 / 24 ( 0 % ) ; +; 10 ; 0 / 24 ( 0 % ) ; +; 11 ; 0 / 24 ( 0 % ) ; +; 12 ; 0 / 24 ( 0 % ) ; +; 13 ; 0 / 24 ( 0 % ) ; +; 14 ; 0 / 24 ( 0 % ) ; +; 15 ; 0 / 24 ( 0 % ) ; +; 16 ; 0 / 24 ( 0 % ) ; +; 17 ; 0 / 24 ( 0 % ) ; +; 18 ; 0 / 24 ( 0 % ) ; +; 19 ; 0 / 24 ( 0 % ) ; +; 20 ; 0 / 24 ( 0 % ) ; +; 21 ; 0 / 24 ( 0 % ) ; +; 22 ; 0 / 24 ( 0 % ) ; +; 23 ; 0 / 24 ( 0 % ) ; +; 24 ; 0 / 24 ( 0 % ) ; +; 25 ; 0 / 24 ( 0 % ) ; +; 26 ; 0 / 24 ( 0 % ) ; +; 27 ; 0 / 24 ( 0 % ) ; +; 28 ; 1 / 24 ( 4 % ) ; +; 29 ; 0 / 24 ( 0 % ) ; +; 30 ; 1 / 24 ( 4 % ) ; +; 31 ; 0 / 24 ( 0 % ) ; +; 32 ; 0 / 24 ( 0 % ) ; +; 33 ; 0 / 24 ( 0 % ) ; +; 34 ; 0 / 24 ( 0 % ) ; +; 35 ; 6 / 24 ( 25 % ) ; +; 36 ; 1 / 24 ( 4 % ) ; +; 37 ; 0 / 24 ( 0 % ) ; +; 38 ; 0 / 24 ( 0 % ) ; +; 39 ; 1 / 24 ( 4 % ) ; +; 40 ; 0 / 24 ( 0 % ) ; +; 41 ; 0 / 24 ( 0 % ) ; +; 42 ; 5 / 24 ( 21 % ) ; +; 43 ; 1 / 24 ( 4 % ) ; +; 44 ; 0 / 24 ( 0 % ) ; +; 45 ; 0 / 24 ( 0 % ) ; +; 46 ; 0 / 24 ( 0 % ) ; +; 47 ; 0 / 24 ( 0 % ) ; +; 48 ; 1 / 24 ( 4 % ) ; +; 49 ; 1 / 24 ( 4 % ) ; +; 50 ; 0 / 24 ( 0 % ) ; +; 51 ; 1 / 24 ( 4 % ) ; +; 52 ; 0 / 24 ( 0 % ) ; +; Total ; 19 / 1248 ( 2 % ) ; ++-------+--------------------+ + + ++---------------------------+ +; LAB Column Interconnect ; ++-------+-------------------+ +; Col. ; Interconnect Used ; ++-------+-------------------+ +; 1 ; 0 / 24 ( 0 % ) ; +; Total ; 0 / 24 ( 0 % ) ; ++-------+-------------------+ + + ++----------------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++-----------------------------------+----------------------------------------+ +; Resource ; Usage ; ++-----------------------------------+----------------------------------------+ +; Total logic elements ; 70 / 3,744 ( 2 % ) ; +; Registers ; 37 / 3,744 ( < 1 % ) ; +; Logic elements in carry chains ; 17 ; +; User inserted logic elements ; 0 ; +; I/O pins ; 22 / 189 ( 12 % ) ; +; -- Clock pins ; 2 / 2 ( 100 % ) ; +; -- Dedicated input pins ; 2 / 4 ( 50 % ) ; +; Global signals ; 2 ; +; EABs ; 0 / 9 ( 0 % ) ; +; Total memory bits ; 0 / 18,432 ( 0 % ) ; +; Total RAM block bits ; 0 / 18,432 ( 0 % ) ; +; Maximum fan-out node ; DiviseurDeFrequence:inst1|inst10 ; +; Maximum fan-out ; 18 ; +; Highest non-global fan-out signal ; DiviseurDeFrequence:inst1|7456:inst7|5 ; +; Highest non-global fan-out ; 18 ; +; Total fan-out ; 259 ; +; Average fan-out ; 2.82 ; ++-----------------------------------+----------------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++--------------------------------+-------------+--------------+-------------+------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; Memory Bits ; Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; ++--------------------------------+-------------+--------------+-------------+------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------+--------------+ +; |CHRONO ; 70 (0) ; 37 ; 0 ; 22 ; 33 (0) ; 2 (0) ; 35 (0) ; 17 (0) ; 0 (0) ; |CHRONO ; work ; +; |BoutonPoussoir2:inst15| ; 4 (4) ; 2 ; 0 ; 0 ; 2 (2) ; 1 (1) ; 1 (1) ; 0 (0) ; 0 (0) ; |CHRONO|BoutonPoussoir2:inst15 ; work ; +; |BoutonPoussoir2:inst16| ; 2 (2) ; 2 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; 0 (0) ; 0 (0) ; |CHRONO|BoutonPoussoir2:inst16 ; work ; +; |CheminDeDonnees:inst| ; 41 (0) ; 12 ; 0 ; 0 ; 29 (0) ; 0 (0) ; 12 (0) ; 0 (0) ; 0 (0) ; |CHRONO|CheminDeDonnees:inst ; work ; +; |74168:inst1| ; 10 (10) ; 4 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 4 (4) ; 0 (0) ; 0 (0) ; |CHRONO|CheminDeDonnees:inst|74168:inst1 ; work ; +; |74168:inst2| ; 8 (8) ; 4 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 4 (4) ; 0 (0) ; 0 (0) ; |CHRONO|CheminDeDonnees:inst|74168:inst2 ; work ; +; |74168:inst8| ; 9 (9) ; 4 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 4 (4) ; 0 (0) ; 0 (0) ; |CHRONO|CheminDeDonnees:inst|74168:inst8 ; work ; +; |7446:inst4| ; 7 (7) ; 0 ; 0 ; 0 ; 7 (7) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |CHRONO|CheminDeDonnees:inst|7446:inst4 ; work ; +; |7446:inst7| ; 7 (7) ; 0 ; 0 ; 0 ; 7 (7) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |CHRONO|CheminDeDonnees:inst|7446:inst7 ; work ; +; |DiviseurDeFrequence:inst1| ; 22 (1) ; 20 ; 0 ; 0 ; 2 (0) ; 0 (0) ; 20 (1) ; 17 (0) ; 0 (0) ; |CHRONO|DiviseurDeFrequence:inst1 ; work ; +; |7456:inst7| ; 3 (3) ; 3 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; 0 (0) ; 0 (0) ; |CHRONO|DiviseurDeFrequence:inst1|7456:inst7 ; work ; +; |8count:inst4| ; 10 (0) ; 8 ; 0 ; 0 ; 2 (0) ; 0 (0) ; 8 (0) ; 9 (0) ; 0 (0) ; |CHRONO|DiviseurDeFrequence:inst1|8count:inst4 ; work ; +; |f8count:sub| ; 10 (10) ; 8 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 8 (8) ; 9 (9) ; 0 (0) ; |CHRONO|DiviseurDeFrequence:inst1|8count:inst4|f8count:sub ; work ; +; |8count:inst| ; 8 (0) ; 8 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (0) ; 8 (0) ; 0 (0) ; |CHRONO|DiviseurDeFrequence:inst1|8count:inst ; work ; +; |f8count:sub| ; 8 (8) ; 8 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; 8 (8) ; 0 (0) ; |CHRONO|DiviseurDeFrequence:inst1|8count:inst|f8count:sub ; work ; +; |sequenceur2:inst17| ; 1 (1) ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; 0 (0) ; 0 (0) ; |CHRONO|sequenceur2:inst17 ; work ; ++--------------------------------+-------------+--------------+-------------+------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++---------------------------------------+ +; Delay Chain Summary ; ++--------------+----------+-------------+ +; Name ; Pin Type ; Pad to Core ; ++--------------+----------+-------------+ +; BP1 ; Input ; OFF ; +; BP2 ; Input ; OFF ; +; H ; Input ; OFF ; +; A ; Output ; OFF ; +; Count ; Output ; OFF ; +; Reset ; Output ; OFF ; +; B ; Output ; OFF ; +; C ; Output ; OFF ; +; D ; Output ; OFF ; +; E ; Output ; OFF ; +; F ; Output ; OFF ; +; G ; Output ; OFF ; +; a1 ; Output ; OFF ; +; b1 ; Output ; OFF ; +; c1 ; Output ; OFF ; +; e1 ; Output ; OFF ; +; f1 ; Output ; OFF ; +; g1 ; Output ; OFF ; +; d1 ; Output ; OFF ; +; pointSeconde ; Output ; OFF ; +; pointDixieme ; Output ; OFF ; +; BP1out ; Output ; OFF ; ++--------------+----------+-------------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in E:/SLEA/Chronometre.pin. + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Info: ******************************************************************* +Info: Running Quartus II Fitter + Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + Info: Processing started: Wed Jan 24 17:22:00 2018 +Info: Command: quartus_fit --read_settings_files=off --write_settings_files=off Chronometre -c Chronometre +Info: Selected device EPF10K70RC240-4 for design "Chronometre" +Warning: Feature SignalProbe is not available with your current license +Info: Fitter is using the Classic Timing Analyzer +Info: Timing requirements not specified -- optimizing circuit to achieve the following default global requirements + Info: Assuming a global fmax requirement of 1000 MHz + Info: Not setting a global tsu requirement + Info: Not setting a global tco requirement + Info: Not setting a global tpd requirement +Info: Inserted 1 logic cells in first fitting attempt +Info: Started fitting attempt 1 on Wed Jan 24 2018 at 17:22:01 +Info: Fitter placement preparation operations beginning +Info: Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info: Fitter placement operations beginning +Info: Fitter placement operations ending: elapsed time is 00:00:00 +Info: Fitter routing operations beginning +Info: Fitter routing operations ending: elapsed time is 00:00:00 +Info: Quartus II Fitter was successful. 0 errors, 1 warning + Info: Peak virtual memory: 194 megabytes + Info: Processing ended: Wed Jan 24 17:22:05 2018 + Info: Elapsed time: 00:00:05 + Info: Total CPU time (on all processors): 00:00:04 + + diff --git a/SLEA/Chronometre.fit.summary b/SLEA/Chronometre.fit.summary new file mode 100644 index 0000000..6a5319d --- /dev/null +++ b/SLEA/Chronometre.fit.summary @@ -0,0 +1,10 @@ +Fitter Status : Successful - Wed Jan 24 17:22:04 2018 +Quartus II Version : 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition +Revision Name : Chronometre +Top-level Entity Name : CHRONO +Family : FLEX10K +Device : EPF10K70RC240-4 +Timing Models : Final +Total logic elements : 70 / 3,744 ( 2 % ) +Total pins : 22 / 189 ( 12 % ) +Total memory bits : 0 / 18,432 ( 0 % ) diff --git a/SLEA/Chronometre.flow.rpt b/SLEA/Chronometre.flow.rpt new file mode 100644 index 0000000..10688f6 --- /dev/null +++ b/SLEA/Chronometre.flow.rpt @@ -0,0 +1,115 @@ +Flow report for Chronometre +Wed Jan 24 17:22:10 2018 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++------------------------------------------------------------------------+ +; Flow Summary ; ++-------------------------+----------------------------------------------+ +; Flow Status ; Successful - Wed Jan 24 17:22:10 2018 ; +; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; +; Revision Name ; Chronometre ; +; Top-level Entity Name ; CHRONO ; +; Family ; FLEX10K ; +; Device ; EPF10K70RC240-4 ; +; Timing Models ; Final ; +; Met timing requirements ; Yes ; +; Total logic elements ; 70 / 3,744 ( 2 % ) ; +; Total pins ; 22 / 189 ( 12 % ) ; +; Total memory bits ; 0 / 18,432 ( 0 % ) ; ++-------------------------+----------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 01/24/2018 17:21:56 ; +; Main task ; Compilation ; +; Revision Name ; Chronometre ; ++-------------------+---------------------+ + + ++------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++------------------------------------+------------------------------+---------------+-------------+----------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++------------------------------------+------------------------------+---------------+-------------+----------------+ +; COMPILER_SIGNATURE_ID ; 150930028222.151681091605568 ; -- ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; MISC_FILE ; U:/SLEA/Chronometre.dpf ; -- ; -- ; -- ; +; SMART_RECOMPILE ; On ; Off ; -- ; -- ; +; TOP_LEVEL_ENTITY ; CHRONO ; Chronometre ; -- ; -- ; +; USE_GENERATED_PHYSICAL_CONSTRAINTS ; Off ; -- ; -- ; eda_blast_fpga ; ++------------------------------------+------------------------------+---------------+-------------+----------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:03 ; 1.0 ; 199 MB ; 00:00:02 ; +; Fitter ; 00:00:04 ; 1.0 ; 175 MB ; 00:00:04 ; +; Assembler ; 00:00:01 ; 1.0 ; 176 MB ; 00:00:01 ; +; Classic Timing Analyzer ; 00:00:01 ; 1.0 ; 145 MB ; 00:00:00 ; +; Total ; 00:00:09 ; -- ; -- ; 00:00:07 ; ++-------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++------------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++-------------------------+------------------+---------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++-------------------------+------------------+---------------+------------+----------------+ +; Analysis & Synthesis ; MFIN05 ; Windows Vista ; 6.1 ; i686 ; +; Fitter ; MFIN05 ; Windows Vista ; 6.1 ; i686 ; +; Assembler ; MFIN05 ; Windows Vista ; 6.1 ; i686 ; +; Classic Timing Analyzer ; MFIN05 ; Windows Vista ; 6.1 ; i686 ; ++-------------------------+------------------+---------------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off Chronometre -c Chronometre +quartus_fit --read_settings_files=off --write_settings_files=off Chronometre -c Chronometre +quartus_asm --read_settings_files=off --write_settings_files=off Chronometre -c Chronometre +quartus_tan --read_settings_files=off --write_settings_files=off Chronometre -c Chronometre + + + diff --git a/SLEA/Chronometre.map.rpt b/SLEA/Chronometre.map.rpt new file mode 100644 index 0000000..aea9fa6 --- /dev/null +++ b/SLEA/Chronometre.map.rpt @@ -0,0 +1,280 @@ +Analysis & Synthesis report for Chronometre +Wed Jan 24 17:21:59 2018 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Analysis & Synthesis Source Files Read + 5. Analysis & Synthesis Resource Usage Summary + 6. Analysis & Synthesis Resource Utilization by Entity + 7. General Register Statistics + 8. Parameter Settings for User Entity Instance: DiviseurDeFrequence:inst1|8count:inst4 + 9. Parameter Settings for User Entity Instance: DiviseurDeFrequence:inst1|8count:inst + 10. Analysis & Synthesis Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++----------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++-----------------------------+----------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Wed Jan 24 17:21:59 2018 ; +; Quartus II Version ; 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition ; +; Revision Name ; Chronometre ; +; Top-level Entity Name ; CHRONO ; +; Family ; FLEX10K ; +; Total logic elements ; 69 ; +; Total pins ; 22 ; +; Total memory bits ; 0 ; ++-----------------------------+----------------------------------------------+ + + ++------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++--------------------------------------------------------------+-----------------+---------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------+-----------------+---------------+ +; Device ; EPF10K70RC240-4 ; ; +; Top-level entity name ; CHRONO ; Chronometre ; +; Family name ; FLEX10K ; Stratix II ; +; Use smart compilation ; On ; Off ; +; Use Generated Physical Constraints File ; Off ; ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Disable OpenCore Plus hardware evaluation ; Off ; Off ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL93 ; VHDL93 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Parallel Synthesis ; Off ; Off ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Auto Implement in ROM ; Off ; Off ; +; Optimization Technique ; Area ; Area ; +; Carry Chain Length ; 32 ; 32 ; +; Cascade Chain Length ; 2 ; 2 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Auto ROM Replacement ; On ; On ; +; Auto RAM Replacement ; On ; On ; +; Auto Clock Enable Replacement ; On ; On ; +; Strict RAM Replacement ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Allow Any RAM Size For Recognition ; Off ; Off ; +; Allow Any ROM Size For Recognition ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Show Parameter Settings Tables in Synthesis Report ; On ; On ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 100 ; 100 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Block Design Naming ; Auto ; Auto ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; ++--------------------------------------------------------------+-----------------+---------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------+---------------------------------------------------------------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; ++----------------------------------+-----------------+------------------------------------+---------------------------------------------------------------+ +; BoutonPoussoir2.bdf ; yes ; User Block Diagram/Schematic File ; E:/SLEA/BoutonPoussoir2.bdf ; +; DiviseurDeFrequence.bdf ; yes ; User Block Diagram/Schematic File ; E:/SLEA/DiviseurDeFrequence.bdf ; +; CheminDeDonnees.bdf ; yes ; User Block Diagram/Schematic File ; E:/SLEA/CheminDeDonnees.bdf ; +; CHRONO.bdf ; yes ; User Block Diagram/Schematic File ; E:/SLEA/CHRONO.bdf ; +; sequenceur2.bdf ; yes ; User Block Diagram/Schematic File ; E:/SLEA/sequenceur2.bdf ; +; 7446.bdf ; yes ; Megafunction ; c:/altera/90sp2/quartus/libraries/others/maxplus2/7446.bdf ; +; 74168.bdf ; yes ; Megafunction ; c:/altera/90sp2/quartus/libraries/others/maxplus2/74168.bdf ; +; 8count.tdf ; yes ; Megafunction ; c:/altera/90sp2/quartus/libraries/others/maxplus2/8count.tdf ; +; aglobal.inc ; yes ; Megafunction ; c:/altera/90sp2/quartus/libraries/megafunctions/aglobal.inc ; +; f8count.bdf ; yes ; Megafunction ; c:/altera/90sp2/quartus/libraries/others/maxplus2/f8count.bdf ; +; 7456.bdf ; yes ; Megafunction ; c:/altera/90sp2/quartus/libraries/others/maxplus2/7456.bdf ; ++----------------------------------+-----------------+------------------------------------+---------------------------------------------------------------+ + + ++----------------------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++-----------------------------------+----------------------------------+ +; Resource ; Usage ; ++-----------------------------------+----------------------------------+ +; Total logic elements ; 69 ; +; Total combinational functions ; 67 ; +; -- Total 4-input functions ; 32 ; +; -- Total 3-input functions ; 8 ; +; -- Total 2-input functions ; 6 ; +; -- Total 1-input functions ; 13 ; +; -- Total 0-input functions ; 8 ; +; Total registers ; 37 ; +; Total logic cells in carry chains ; 17 ; +; I/O pins ; 22 ; +; Maximum fan-out node ; DiviseurDeFrequence:inst1|inst10 ; +; Maximum fan-out ; 18 ; +; Total fan-out ; 257 ; +; Average fan-out ; 2.82 ; ++-----------------------------------+----------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++--------------------------------+-------------+--------------+-------------+------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; Memory Bits ; Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; ++--------------------------------+-------------+--------------+-------------+------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------+--------------+ +; |CHRONO ; 69 (0) ; 37 ; 0 ; 22 ; 32 (0) ; 2 (0) ; 35 (0) ; 17 (0) ; 0 (0) ; |CHRONO ; work ; +; |BoutonPoussoir2:inst15| ; 3 (3) ; 2 ; 0 ; 0 ; 1 (1) ; 1 (1) ; 1 (1) ; 0 (0) ; 0 (0) ; |CHRONO|BoutonPoussoir2:inst15 ; work ; +; |BoutonPoussoir2:inst16| ; 2 (2) ; 2 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 1 (1) ; 0 (0) ; 0 (0) ; |CHRONO|BoutonPoussoir2:inst16 ; work ; +; |CheminDeDonnees:inst| ; 41 (0) ; 12 ; 0 ; 0 ; 29 (0) ; 0 (0) ; 12 (0) ; 0 (0) ; 0 (0) ; |CHRONO|CheminDeDonnees:inst ; work ; +; |74168:inst1| ; 10 (10) ; 4 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 4 (4) ; 0 (0) ; 0 (0) ; |CHRONO|CheminDeDonnees:inst|74168:inst1 ; work ; +; |74168:inst2| ; 8 (8) ; 4 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 4 (4) ; 0 (0) ; 0 (0) ; |CHRONO|CheminDeDonnees:inst|74168:inst2 ; work ; +; |74168:inst8| ; 9 (9) ; 4 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 4 (4) ; 0 (0) ; 0 (0) ; |CHRONO|CheminDeDonnees:inst|74168:inst8 ; work ; +; |7446:inst4| ; 7 (7) ; 0 ; 0 ; 0 ; 7 (7) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |CHRONO|CheminDeDonnees:inst|7446:inst4 ; work ; +; |7446:inst7| ; 7 (7) ; 0 ; 0 ; 0 ; 7 (7) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |CHRONO|CheminDeDonnees:inst|7446:inst7 ; work ; +; |DiviseurDeFrequence:inst1| ; 22 (1) ; 20 ; 0 ; 0 ; 2 (0) ; 0 (0) ; 20 (1) ; 17 (0) ; 0 (0) ; |CHRONO|DiviseurDeFrequence:inst1 ; work ; +; |7456:inst7| ; 3 (3) ; 3 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 3 (3) ; 0 (0) ; 0 (0) ; |CHRONO|DiviseurDeFrequence:inst1|7456:inst7 ; work ; +; |8count:inst4| ; 10 (0) ; 8 ; 0 ; 0 ; 2 (0) ; 0 (0) ; 8 (0) ; 9 (0) ; 0 (0) ; |CHRONO|DiviseurDeFrequence:inst1|8count:inst4 ; work ; +; |f8count:sub| ; 10 (10) ; 8 ; 0 ; 0 ; 2 (2) ; 0 (0) ; 8 (8) ; 9 (9) ; 0 (0) ; |CHRONO|DiviseurDeFrequence:inst1|8count:inst4|f8count:sub ; work ; +; |8count:inst| ; 8 (0) ; 8 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (0) ; 8 (0) ; 0 (0) ; |CHRONO|DiviseurDeFrequence:inst1|8count:inst ; work ; +; |f8count:sub| ; 8 (8) ; 8 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; 8 (8) ; 0 (0) ; |CHRONO|DiviseurDeFrequence:inst1|8count:inst|f8count:sub ; work ; +; |sequenceur2:inst17| ; 1 (1) ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; 0 (0) ; 0 (0) ; |CHRONO|sequenceur2:inst17 ; work ; ++--------------------------------+-------------+--------------+-------------+------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------+--------------+ +Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. + + ++------------------------------------------------------+ +; General Register Statistics ; ++----------------------------------------------+-------+ +; Statistic ; Value ; ++----------------------------------------------+-------+ +; Total registers ; 37 ; +; Number of registers using Synchronous Clear ; 7 ; +; Number of registers using Synchronous Load ; 0 ; +; Number of registers using Asynchronous Clear ; 0 ; +; Number of registers using Asynchronous Load ; 0 ; +; Number of registers using Clock Enable ; 1 ; +; Number of registers using Preset ; 0 ; ++----------------------------------------------+-------+ + + ++-------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: DiviseurDeFrequence:inst1|8count:inst4 ; ++------------------------+---------+--------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+---------+--------------------------------------------------+ +; DEVICE_FAMILY ; FLEX10K ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+---------+--------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: DiviseurDeFrequence:inst1|8count:inst ; ++------------------------+---------+-------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+---------+-------------------------------------------------+ +; DEVICE_FAMILY ; FLEX10K ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; ++------------------------+---------+-------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus II Analysis & Synthesis + Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + Info: Processing started: Wed Jan 24 17:21:56 2018 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off Chronometre -c Chronometre +Info: Found 1 design units, including 1 entities, in source file Decodeur.bdf + Info: Found entity 1: Decodeur +Info: Found 1 design units, including 1 entities, in source file BoutonPoussoir.bdf + Info: Found entity 1: BoutonPoussoir +Info: Found 1 design units, including 1 entities, in source file BoutonPoussoir2.bdf + Info: Found entity 1: BoutonPoussoir2 +Info: Found 1 design units, including 1 entities, in source file DiviseurDeFrequence.bdf + Info: Found entity 1: DiviseurDeFrequence +Info: Found 1 design units, including 1 entities, in source file CheminDeDonnees.bdf + Info: Found entity 1: CheminDeDonnees +Warning: Can't analyze file -- file E:/SLEA/Sequenceur.bdf is missing +Info: Found 1 design units, including 1 entities, in source file CHRONO.bdf + Info: Found entity 1: CHRONO +Info: Found 1 design units, including 1 entities, in source file sequenceur2.bdf + Info: Found entity 1: sequenceur2 +Info: Elaborating entity "CHRONO" for the top level hierarchy +Info: Elaborating entity "CheminDeDonnees" for hierarchy "CheminDeDonnees:inst" +Info: Elaborating entity "7446" for hierarchy "CheminDeDonnees:inst|7446:inst7" +Info: Elaborated megafunction instantiation "CheminDeDonnees:inst|7446:inst7" +Info: Elaborating entity "74168" for hierarchy "CheminDeDonnees:inst|74168:inst2" +Info: Elaborated megafunction instantiation "CheminDeDonnees:inst|74168:inst2" +Info: Elaborating entity "DiviseurDeFrequence" for hierarchy "DiviseurDeFrequence:inst1" +Info: Elaborating entity "8count" for hierarchy "DiviseurDeFrequence:inst1|8count:inst4" +Info: Elaborated megafunction instantiation "DiviseurDeFrequence:inst1|8count:inst4" +Info: Elaborating entity "f8count" for hierarchy "DiviseurDeFrequence:inst1|8count:inst4|f8count:sub" +Info: Elaborated megafunction instantiation "DiviseurDeFrequence:inst1|8count:inst4|f8count:sub", which is child of megafunction instantiation "DiviseurDeFrequence:inst1|8count:inst4" +Info: Elaborating entity "8count" for hierarchy "DiviseurDeFrequence:inst1|8count:inst" +Info: Elaborated megafunction instantiation "DiviseurDeFrequence:inst1|8count:inst" +Info: Elaborating entity "7456" for hierarchy "DiviseurDeFrequence:inst1|7456:inst7" +Info: Elaborated megafunction instantiation "DiviseurDeFrequence:inst1|7456:inst7" +Info: Elaborating entity "sequenceur2" for hierarchy "sequenceur2:inst17" +Info: Elaborating entity "BoutonPoussoir2" for hierarchy "BoutonPoussoir2:inst15" +Warning: Output pins are stuck at VCC or GND + Warning (13410): Pin "pointSeconde" is stuck at VCC + Warning (13410): Pin "pointDixieme" is stuck at GND +Info: Converted 2 single input CARRY primitives to CARRY_SUM primitives +Info: Implemented 91 device resources after synthesis - the final resource count might be different + Info: Implemented 3 input pins + Info: Implemented 19 output pins + Info: Implemented 69 logic cells +Info: Quartus II Analysis & Synthesis was successful. 0 errors, 4 warnings + Info: Peak virtual memory: 199 megabytes + Info: Processing ended: Wed Jan 24 17:21:59 2018 + Info: Elapsed time: 00:00:03 + Info: Total CPU time (on all processors): 00:00:02 + + diff --git a/SLEA/Chronometre.map.summary b/SLEA/Chronometre.map.summary new file mode 100644 index 0000000..dc2f63a --- /dev/null +++ b/SLEA/Chronometre.map.summary @@ -0,0 +1,8 @@ +Analysis & Synthesis Status : Successful - Wed Jan 24 17:21:59 2018 +Quartus II Version : 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition +Revision Name : Chronometre +Top-level Entity Name : CHRONO +Family : FLEX10K +Total logic elements : 69 +Total pins : 22 +Total memory bits : 0 diff --git a/SLEA/Chronometre.pin b/SLEA/Chronometre.pin new file mode 100644 index 0000000..00db416 --- /dev/null +++ b/SLEA/Chronometre.pin @@ -0,0 +1,284 @@ + -- Copyright (C) 1991-2009 Altera Corporation + -- Your use of Altera Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Altera Program License + -- Subscription Agreement, Altera MegaCore Function License + -- Agreement, or other applicable license agreement, including, + -- without limitation, that your use is for the sole purpose of + -- programming logic devices manufactured by Altera and sold by + -- Altera or its authorized distributors. Please refer to the + -- applicable agreement for further details. + -- + -- This is a Quartus II output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus II input file. This file cannot be used + -- to make Quartus II pin assignments - for instructions on how to make pin + -- assignments, please see Quartus II help. + ------------------------------------------------------------------------------ + + + + ------------------------------------------------------------------------------ + -- NC : No Connect. This pin has no internal connection to the device. + -- VCC_INT : Dedicated power pin, which MUST be connected to VCC (5.0V). + -- VCC_IO : Dedicated power pin, which MUST be connected to VCC (Refer to + -- the table below for voltage). + -- GND : Dedicated ground pin, which MUST be connected to GND. + -- GND+ : Unused input. This pin should be connected to GND. It may also + -- be connected to a valid signal on the board (low, high, or + -- toggling) if that signal is required for a different revision + -- of the design. + -- GND* : Unused I/O pin. This pin can either be left unconnected or + -- connected to GND. Connecting this pin to GND will improve the + -- device's immunity to noise. + ------------------------------------------------------------------------------ + + +File Generation Date & Time: Wed Jan 24 17:22:04 2018 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +CHIP "Chronometre" ASSIGNED TO AN: EPF10K70RC240-4 + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +TCK : 1 : input : : : : +CONF_DONE : 2 : bidir : : : : +nCEO : 3 : output : : : : +TDO : 4 : output : : : : +VCC_INT : 5 : power : : 5.0V : : +A : 6 : output : TTL : : : Y +B : 7 : output : TTL : : : Y +C : 8 : output : TTL : : : Y +D : 9 : output : TTL : : : Y +GND_INT : 10 : gnd : : : : +E : 11 : output : TTL : : : Y +F : 12 : output : TTL : : : Y +G : 13 : output : TTL : : : Y +pointDixieme : 14 : output : TTL : : : Y +GND* : 15 : : : : : +VCC_INT : 16 : power : : 5.0V : : +a1 : 17 : output : TTL : : : Y +b1 : 18 : output : TTL : : : Y +c1 : 19 : output : TTL : : : Y +d1 : 20 : output : TTL : : : Y +e1 : 21 : output : TTL : : : Y +GND_INT : 22 : gnd : : : : +f1 : 23 : output : TTL : : : Y +g1 : 24 : output : TTL : : : Y +pointSeconde : 25 : output : TTL : : : Y +GND* : 26 : : : : : +VCC_INT : 27 : power : : 5.0V : : +BP1 : 28 : input : TTL : : : Y +BP2 : 29 : input : TTL : : : Y +GND* : 30 : : : : : +GND* : 31 : : : : : +GND_INT : 32 : gnd : : : : +GND* : 33 : : : : : +GND* : 34 : : : : : +GND* : 35 : : : : : +GND* : 36 : : : : : +VCC_INT : 37 : power : : 5.0V : : +GND* : 38 : : : : : +GND* : 39 : : : : : +GND* : 40 : : : : : +GND* : 41 : : : : : +GND_INT : 42 : gnd : : : : +GND* : 43 : : : : : +GND* : 44 : : : : : +BP1out : 45 : output : TTL : : : Y +GND* : 46 : : : : : +VCC_INT : 47 : power : : 5.0V : : +Count : 48 : output : TTL : : : Y +GND* : 49 : : : : : +GND* : 50 : : : : : +GND* : 51 : : : : : +GND_INT : 52 : gnd : : : : +Reset : 53 : output : TTL : : : Y +GND* : 54 : : : : : +GND* : 55 : : : : : +GND* : 56 : : : : : +VCC_INT : 57 : power : : 5.0V : : +TMS : 58 : input : : : : +TRST : 59 : input : : : : +nSTATUS : 60 : bidir : : : : +GND* : 61 : : : : : +GND* : 62 : : : : : +GND* : 63 : : : : : +GND* : 64 : : : : : +GND* : 65 : : : : : +GND* : 66 : : : : : +GND* : 67 : : : : : +GND* : 68 : : : : : +GND_INT : 69 : gnd : : : : +GND* : 70 : : : : : +GND* : 71 : : : : : +GND* : 72 : : : : : +GND* : 73 : : : : : +GND* : 74 : : : : : +GND* : 75 : : : : : +GND* : 76 : : : : : +VCC_INT : 77 : power : : 5.0V : : +GND* : 78 : : : : : +GND* : 79 : : : : : +GND* : 80 : : : : : +GND* : 81 : : : : : +GND* : 82 : : : : : +GND* : 83 : : : : : +GND* : 84 : : : : : +GND_INT : 85 : gnd : : : : +GND* : 86 : : : : : +GND* : 87 : : : : : +GND* : 88 : : : : : +VCC_INT : 89 : power : : 5.0V : : +GND+ : 90 : : : : : +H : 91 : input : TTL : : : Y +GND+ : 92 : : : : : +GND_INT : 93 : gnd : : : : +GND* : 94 : : : : : +GND* : 95 : : : : : +VCC_INT : 96 : power : : 5.0V : : +GND* : 97 : : : : : +GND* : 98 : : : : : +GND* : 99 : : : : : +GND* : 100 : : : : : +GND* : 101 : : : : : +GND* : 102 : : : : : +GND* : 103 : : : : : +GND_INT : 104 : gnd : : : : +GND* : 105 : : : : : +GND* : 106 : : : : : +GND* : 107 : : : : : +GND* : 108 : : : : : +GND* : 109 : : : : : +GND* : 110 : : : : : +GND* : 111 : : : : : +VCC_INT : 112 : power : : 5.0V : : +GND* : 113 : : : : : +GND* : 114 : : : : : +GND* : 115 : : : : : +GND* : 116 : : : : : +GND* : 117 : : : : : +GND* : 118 : : : : : +GND* : 119 : : : : : +GND* : 120 : : : : : +nCONFIG : 121 : input : : : : +VCC_INT : 122 : power : : 5.0V : : +MSEL1 : 123 : input : : : : +MSEL0 : 124 : input : : : : +GND_INT : 125 : gnd : : : : +GND* : 126 : : : : : +GND* : 127 : : : : : +GND* : 128 : : : : : +GND* : 129 : : : : : +VCC_INT : 130 : power : : 5.0V : : +GND* : 131 : : : : : +GND* : 132 : : : : : +GND* : 133 : : : : : +GND* : 134 : : : : : +GND_INT : 135 : gnd : : : : +GND* : 136 : : : : : +GND* : 137 : : : : : +GND* : 138 : : : : : +GND* : 139 : : : : : +VCC_INT : 140 : power : : 5.0V : : +GND* : 141 : : : : : +GND* : 142 : : : : : +GND* : 143 : : : : : +GND* : 144 : : : : : +GND_INT : 145 : gnd : : : : +GND* : 146 : : : : : +GND* : 147 : : : : : +GND* : 148 : : : : : +GND* : 149 : : : : : +VCC_INT : 150 : power : : 5.0V : : +GND* : 151 : : : : : +GND* : 152 : : : : : +GND* : 153 : : : : : +GND* : 154 : : : : : +GND_INT : 155 : gnd : : : : +GND* : 156 : : : : : +GND* : 157 : : : : : +GND* : 158 : : : : : +GND* : 159 : : : : : +VCC_INT : 160 : power : : 5.0V : : +GND* : 161 : : : : : +GND* : 162 : : : : : +GND* : 163 : : : : : +GND* : 164 : : : : : +GND_INT : 165 : gnd : : : : +GND* : 166 : : : : : +GND* : 167 : : : : : +GND* : 168 : : : : : +GND* : 169 : : : : : +VCC_INT : 170 : power : : 5.0V : : +GND* : 171 : : : : : +GND* : 172 : : : : : +GND* : 173 : : : : : +GND* : 174 : : : : : +GND* : 175 : : : : : +GND_INT : 176 : gnd : : : : +TDI : 177 : input : : : : +nCE : 178 : input : : : : +DCLK : 179 : bidir : : : : +DATA0 : 180 : input : : : : +GND* : 181 : : : : : +GND* : 182 : : : : : +GND* : 183 : : : : : +GND* : 184 : : : : : +GND* : 185 : : : : : +GND* : 186 : : : : : +GND* : 187 : : : : : +GND* : 188 : : : : : +VCC_INT : 189 : power : : 5.0V : : +GND* : 190 : : : : : +GND* : 191 : : : : : +GND* : 192 : : : : : +GND* : 193 : : : : : +GND* : 194 : : : : : +GND* : 195 : : : : : +GND* : 196 : : : : : +GND_INT : 197 : gnd : : : : +GND* : 198 : : : : : +GND* : 199 : : : : : +GND* : 200 : : : : : +GND* : 201 : : : : : +GND* : 202 : : : : : +GND* : 203 : : : : : +GND* : 204 : : : : : +VCC_INT : 205 : power : : 5.0V : : +GND* : 206 : : : : : +GND* : 207 : : : : : +GND* : 208 : : : : : +GND* : 209 : : : : : +GND+ : 210 : : : : : +GND+ : 211 : : : : : +GND+ : 212 : : : : : +GND* : 213 : : : : : +GND* : 214 : : : : : +GND* : 215 : : : : : +GND_INT : 216 : gnd : : : : +GND* : 217 : : : : : +GND* : 218 : : : : : +GND* : 219 : : : : : +GND* : 220 : : : : : +GND* : 221 : : : : : +GND* : 222 : : : : : +GND* : 223 : : : : : +VCC_INT : 224 : power : : 5.0V : : +GND* : 225 : : : : : +GND* : 226 : : : : : +GND* : 227 : : : : : +GND* : 228 : : : : : +GND* : 229 : : : : : +GND* : 230 : : : : : +GND* : 231 : : : : : +GND_INT : 232 : gnd : : : : +GND* : 233 : : : : : +GND* : 234 : : : : : +GND* : 235 : : : : : +GND* : 236 : : : : : +GND* : 237 : : : : : +GND* : 238 : : : : : +GND* : 239 : : : : : +GND* : 240 : : : : : diff --git a/SLEA/Chronometre.pof b/SLEA/Chronometre.pof new file mode 100644 index 0000000000000000000000000000000000000000..112c595a83ffa5e18a80f8a1492b45edc98aa05a GIT binary patch literal 212123 zcmeI*OOG7Y6~OUZJ#Ev#7@CKo;i0GuEKm|bYugwr#)(R(K2sA{LQS zHi%fPCOek%5#}Q_;R`rBmZN+E^DvLtXR1!!{@rtL zRd;odSO4%k=Un8D`jEZ@(2k z_Rt`H^8M3qycVDM<*(zxZytW+w-28f3?7SLI(6o6r(Zo4ubzDMuknfarRU>6oq8pH z=C#xB$!4yT@8Fr$r%t#fccbj(<+t8D{oWg=UURp(<;RyKkl(iMlBNvrIdgRX6**3Nprz_U$W~=45 zs7CJb78XDIJ8kXDwzk`%7sol`_%7`oJ9d3J*!GpeEnC*$VB6BfF1PLbC*k_e&(z+r<3E#d z%eE%r+UaMqZ8u{FZ(l$!+t#4oU#N&}yBRxvKiPU`Z}xY%-mnLKp7-RU$euMDJiHnt zOX-f!e^(j~60|i5(!|mv^V(gya?K#QH5ewCXaOCePB75|I?~_i>0qG9`gJ&7hG;rt zM=N4dW@7hR%Jw}|{anV8{Q1Q4<&yJ0depC8@-!8D5u{=#Y+ z+wZ~gujZ~H?cP0gmBAm+U3178?wU2es}$^Itc-Fs<*G zhMiv|<7A)qn$-8I|GIeK3X>>ugW}lGZ&Kfh@BKk6IrG~s$&HVWAGuZ6q`ueYe+Eka zp2zwmcm5hJo-R~;@W@rQVsFyBcXhkN;+I{i?~P)i^8Nh!es=Fjl=@z&oO80`VE$Ys zxr+KuQmOCV+N`*fM34Dm%h;X0wsQz~)gL=WYPU38dVF`G!TkLnf9y=Nx!=1eo-Q@1 z?+f2dH}@ahl=NPo&L>Uk`{K8L%IWg4=_7g2Wd2^iIM@5GUN=sP{Jn1d`o8V^xw&1Z zn-;0FNv3{%Uz~34Kah_y45zUs-cFrEOwtWqubZUKjS?q+D=53wA3I<9Ch4&}@h2$k zv)eqIvt$qJ-bN+!cgF07a^fn5CqLat0#e_#Z(DjE9H&|9Kbhrp8}dW`%Xd>V`Azsv`TcyD8hb z{C`J-Nl-TXQeN*2yp9@4yqCoCGnN0c`}{VDCl9LLWq;@Fg_)Jp8)Zr#b-!@;4|_6y zZ;pq(kjp~Nn?BdPvo9%@o{f%eMC0@CNqz5502Oy}K};T;?WbF%KGItU?)l`1{9@y5 zQQtC|*Sig_Nb!2!S-Yj6rQO+sxN&_yaV6V+=5{nsnfC8`a4AaiMt9^zthfJ}E#@o? z)cb7iqhi%gGJcfG&W;wr8uuf~J5_B9O(efAWj+0AoNPS0t9!Y9xeeK)UWq*^jdm+1q+lyR$U2h8(q#VbfA8mZH~&{gx|~n_Y!s~|ZY%0G^^Pz4xzoOMZL;*Nb8*z|%1g|Zrt|ma zcO5Tznk`H2P7>ezw7h)n-tDBmo6O%Q^?V}Dp7h;~sXXeeq*)El&I{Qp``)(nai^CV zETz$^%Z6ok9w@ox{G|46q}!e6_|U~t-|{eZE0Q|D#gqH0cNf%gK0S6q=ICX-%>b-QoR>U*+gPuks?)OYQ6udDMxw>-|>UzNEkdwZsn zVaxnoJNKr3&omv48=YjOB6X6_u}$XhceLX2NYY8do{NTEmrAkA`H6VfzHO=8X@)KH zpo`)xqZ}b>C!K5%f1MBU#ha({YuQ8HVgKNzG;3PX&TJuXv}DU~<3`70_dF8G(^1=W z_9iG6+|_F9<>_2@iz?^d(66PkH)NAL&$}19pQO(9qx&Rb-tJ;v$(JkqSew-Mp108} zY*lTQ)cSh@v&DNZYgHouOHb_nCY3>F z)qj6|+P$YL;ys6k>dkiUoAQ;j66xlZSCnM=dW)j#`rK!B^(0%2vmdo@YwGn*?G$r2 zxITS3w>g!6k+*wKNyvtA<&F1SQO=Q*z3Zgz{CKhVVDv!t%Hj5Gv9ouTs*gAId2H29 z_g$~meYx+mYqmmeGCTdJ%`)k&vg_Qj4v!<_+H5?bq;uw~dAq9Qu@9$`$ z_ST7hE}k(E>U`onWE`bi}q!4$C>j?28|*SUu98bDgAmhWTsS_NBkywwN?} z>F7V%(q+CUFuwS)_bNci`}U=FO@fwxlhL*>Eo;y&_h5VW#e9=Ko43vCyw7XS+n`3A zzptsW4))lf_un+q-Z9_w8SlRxeW{IX=Wo5gP!Zd{v^zH7tt)`OJZKZWzfcj5J6h7V zzV-e>Md(W{Y1@|^cYJYMZ+~y$mKi(R(zY)dJHEKBx4*Y=%ZwduY1@~K9beqm+uvKb zWyX%SwC&5i@8WkCFXT;&YJ8S|ak|dlFBjC#oo`_B!`8dPcRm_EtLyRa2{df#%x1RU zGrJ19K)W?3CYWde9idJz(E>U`onWE`bc8y=L<{H$b%Kc&&=Kkc6D^=4)Cne9Ku4$( zOtgTGP$vg%($;H7mhY`w=GOfiD$?F-M{TXaP(7#fw09n)Fa6%M?Mu85*4y7(xaIcF z-{B1WOpG00#5r3Ny}wWq+jcV#`u&8rFT&W-BAE$e$9G}u_#%uQEyCC-Hz|vTi7{7c zN87nd?=Mt@zSNSoeaUg&7q|8H_ZDuMv7;?*`!e_>lx z?+N&Fw)O4rE!;Bg)|QxHq6Kt>I>AH>=m>R!i5Aci>I4%lpd-`?CR#v8s1r=IfR0cn zm}mhVp-wQ-0y;vS9JEPWuO0Eey`^r8uWW0+;V&8LHg3K0^7dC`(VBOy@gwD z@BAIkz|X|k@kRK&rWWDzn&l>C(J(RQD(z@HSLywQiqMx@(zY)-XY<8vz5Ts~TW0KN zOWVF=?D*og-u~XgEi-nsrEOm_c6@PLZ+~y$mKi(R()P3ETyOBjZO0DZ6F69Je{bQI zZR;dlJN-aJK}wNzY4i_4z}BA5?u|YtMjz?m}b|P z$FFFoP?7d}hZ4|vEXO>3S>~-{p&}f2v?RwJZPMO(kmHWuoAjkG;vB~vZNhQB%tXgR zMQq0<^Pt~P?e(_n%fWWnX9~8wdfBqw?aa+_N0%4JoiY<03l(YaJa|(m0+na;k_rV2 z*m`rl!SASvcj{dDW83O?=HC-AYPYWxZrQfJ!?n}TM7wNP9G}O07!Q`M# z4&(c=PZx-dE-Ya&zt9uR!N4 zKMQI*pXlcjDzf<4aBX|B9rKJGzjrL_+cGy}$M4DPx|CggAHQOko8yk|OU8~iY434I zKbKGuTa!?&)Ol>%Z8ukO+$rlzOK-c}9CvhIa@^4-%vA?%qW2dnLSJf0TNAy%P!V45 zXi3}8ELa|k?Q(O@rt74?!}SJVW$`@Nji&1-(?^vbB$q9}Cvd&S&b69U=BvG8f5+}~ zVP}4*-JHrBSRT`C{|;2;r&xQ%#pAMex|t2y>&@qsW;#+9Z0p3|TexM~tt~OZL<{H$ zb%Kc&(2@SmiVg;fqq_UGBm5N3QQWiR-y2cHPBq;=Ak??YmlMl5OjUb7r|y!_OwR_cIH2b;9R0rS!d< zWt~{&=K7`Ak+Nv{zCGS$-Z~a4LSJf0+w<7A9(S};sEB0^S{@rLb2AU>>awg8yvw|G zEL4QP)RG)`v+paG;?)X*0 z-{a6C*?VD@pDkZ}iJ`OiN<`kcsPgrOjcv=^Wl~{c`CPU8ZGf4i@yt?#g)5eQ*`PfY zH?cLT*oX$J*&cTqG^pYxmgj@*{hk1yiTBH=z4h%slW@zJXiH2m(E>U`os^kaz6R9$ z3l*U+wIn8(XaOCeP7ad^^S9rd)VD8U`#zi7(fbP(Y45*jVfS8`t+(HEX&h>X**rAg zHEfGXsGjp{!a3W|X!AV*U%b7am1}Da+Wie4{?3_S-PwGiW1%ALJs-3jJE3%Sp7wg{ z=MpMndCiNlqkGBL+iqO)xf$J;?LGI=&m~mEc8;~HZ{{jp0rcfTo9O+8ig4V~lDyv0 zCd`A{#CGiH{e_Cy&flS0sq@&jn{x2fzk6=+LiViF{Z}iptzR0h zEPr@%u>A37gO#J7FI-s4a*y_1ZJO=E#T{-4Abp17`-26WP C${o}I literal 0 HcmV?d00001 diff --git a/SLEA/Chronometre.qpf b/SLEA/Chronometre.qpf new file mode 100644 index 0000000..dd311a6 --- /dev/null +++ b/SLEA/Chronometre.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2009 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II +# Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +# Date created = 13:44:11 December 08, 2017 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "9.0" +DATE = "13:44:11 December 08, 2017" + +# Revisions + +PROJECT_REVISION = "Chronometre" diff --git a/SLEA/Chronometre.qsf b/SLEA/Chronometre.qsf new file mode 100644 index 0000000..5d77ce1 --- /dev/null +++ b/SLEA/Chronometre.qsf @@ -0,0 +1,86 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2009 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II +# Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +# Date created = 13:44:11 December 08, 2017 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# Chronometre_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY FLEX10K +set_global_assignment -name DEVICE "EPF10K70RC240-4" +set_global_assignment -name TOP_LEVEL_ENTITY CHRONO +set_global_assignment -name ORIGINAL_QUARTUS_VERSION "9.0 SP2" +set_global_assignment -name PROJECT_CREATION_TIME_DATE "13:44:11 DECEMBER 08, 2017" +set_global_assignment -name LAST_QUARTUS_VERSION "9.0 SP2" +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_blast_fpga +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name BDF_FILE Decodeur.bdf +set_global_assignment -name SMART_RECOMPILE ON +set_global_assignment -name FLEX10K_DEVICE_IO_STANDARD TTL +set_global_assignment -name MISC_FILE "U:/SLEA/Chronometre.dpf" +set_global_assignment -name VECTOR_WAVEFORM_FILE decodeur1.vwf +set_global_assignment -name SIMULATION_MODE FUNCTIONAL +set_global_assignment -name BDF_FILE BoutonPoussoir.bdf +set_global_assignment -name VECTOR_WAVEFORM_FILE BoutonPoussoir.vwf +set_global_assignment -name BDF_FILE BoutonPoussoir2.bdf +set_global_assignment -name VECTOR_WAVEFORM_FILE BoutonPoussoir2.vwf +set_global_assignment -name BDF_FILE DiviseurDeFrequence.bdf +set_global_assignment -name BDF_FILE CheminDeDonnees.bdf +set_location_assignment PIN_6 -to A +set_location_assignment PIN_7 -to B +set_location_assignment PIN_8 -to C +set_location_assignment PIN_9 -to D +set_location_assignment PIN_11 -to E +set_location_assignment PIN_12 -to F +set_location_assignment PIN_13 -to G +set_location_assignment PIN_25 -to pointSeconde +set_location_assignment PIN_14 -to pointDixieme +set_location_assignment PIN_17 -to a1 +set_location_assignment PIN_18 -to b1 +set_location_assignment PIN_19 -to c1 +set_location_assignment PIN_20 -to d1 +set_location_assignment PIN_21 -to e1 +set_location_assignment PIN_23 -to f1 +set_location_assignment PIN_24 -to g1 +set_global_assignment -name BDF_FILE Sequenceur.bdf +set_global_assignment -name BDF_FILE CHRONO.bdf +set_location_assignment PIN_91 -to H +set_location_assignment PIN_28 -to BP1 +set_location_assignment PIN_29 -to BP2 +set_location_assignment PIN_48 -to Count +set_location_assignment PIN_53 -to Reset +set_location_assignment PIN_45 -to BP1out +set_global_assignment -name BDF_FILE sequenceur2.bdf +set_global_assignment -name VECTOR_WAVEFORM_FILE sequenceur2.vwf +set_global_assignment -name INCREMENTAL_VECTOR_INPUT_SOURCE sequenceur2.vwf \ No newline at end of file diff --git a/SLEA/Chronometre.qws b/SLEA/Chronometre.qws new file mode 100644 index 0000000..656e596 --- /dev/null +++ b/SLEA/Chronometre.qws @@ -0,0 +1,17 @@ +[ProjectWorkspace] +ptn_Child1=Frames +[ProjectWorkspace.Frames] +ptn_Child1=ChildFrames +[ProjectWorkspace.Frames.ChildFrames] +ptn_Child1=Document-0 +ptn_Child2=Document-1 +ptn_Child3=Document-2 +ptn_Child4=Document-3 +[ProjectWorkspace.Frames.ChildFrames.Document-0] +ptn_Child1=ViewFrame-0 +[ProjectWorkspace.Frames.ChildFrames.Document-0.ViewFrame-0] +DocPathName=CHRONO.bdf +DocumentCLSID={7b19e8f2-2bbe-11d1-a082-0020affa5bde} +IsChildFrameDetached=False +IsActiveChildFrame=False +ptn_Child1=StateMap diff --git a/SLEA/Chronometre.sim.rpt b/SLEA/Chronometre.sim.rpt new file mode 100644 index 0000000..39fde03 --- /dev/null +++ b/SLEA/Chronometre.sim.rpt @@ -0,0 +1,171 @@ +Simulator report for Chronometre +Wed Jan 24 16:29:12 2018 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Simulator Summary + 3. Simulator Settings + 4. Simulation Waveforms + 5. Coverage Summary + 6. Complete 1/0-Value Coverage + 7. Missing 1-Value Coverage + 8. Missing 0-Value Coverage + 9. Simulator INI Usage + 10. Simulator Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++--------------------------------------------+ +; Simulator Summary ; ++-----------------------------+--------------+ +; Type ; Value ; ++-----------------------------+--------------+ +; Simulation Start Time ; 0 ps ; +; Simulation End Time ; 100.0 ms ; +; Simulation Netlist Size ; 8 nodes ; +; Simulation Coverage ; 100.00 % ; +; Total Number of Transitions ; 57 ; +; Simulation Breakpoints ; 0 ; +; Family ; FLEX10K ; ++-----------------------------+--------------+ + + ++------------------------------------------------------------------------------------------------------------------------------+ +; Simulator Settings ; ++--------------------------------------------------------------------------------------------+-----------------+---------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------------------------------------+-----------------+---------------+ +; Simulation mode ; Functional ; Timing ; +; Start time ; 0 ns ; 0 ns ; +; Simulation results format ; CVWF ; ; +; Vector input source ; sequenceur2.vwf ; ; +; Add pins automatically to simulation output waveforms ; On ; On ; +; Check outputs ; Off ; Off ; +; Report simulation coverage ; On ; On ; +; Display complete 1/0 value coverage report ; On ; On ; +; Display missing 1-value coverage report ; On ; On ; +; Display missing 0-value coverage report ; On ; On ; +; Detect setup and hold time violations ; Off ; Off ; +; Detect glitches ; Off ; Off ; +; Disable timing delays in Timing Simulation ; Off ; Off ; +; Generate Signal Activity File ; Off ; Off ; +; Generate VCD File for PowerPlay Power Analyzer ; Off ; Off ; +; Group bus channels in simulation results ; Off ; Off ; +; Preserve fewer signal transitions to reduce memory requirements ; On ; On ; +; Trigger vector comparison with the specified mode ; INPUT_EDGE ; INPUT_EDGE ; +; Disable setup and hold time violations detection in input registers of bi-directional pins ; Off ; Off ; +; Overwrite Waveform Inputs With Simulation Outputs ; On ; ; +; Perform Glitch Filtering in Timing Simulation ; Auto ; Auto ; ++--------------------------------------------------------------------------------------------+-----------------+---------------+ + + ++----------------------+ +; Simulation Waveforms ; ++----------------------+ +Waveform report data cannot be output to ASCII. +Please use Quartus II to view the waveform report data. + + ++--------------------------------------------------------------------+ +; Coverage Summary ; ++-----------------------------------------------------+--------------+ +; Type ; Value ; ++-----------------------------------------------------+--------------+ +; Total coverage as a percentage ; 100.00 % ; +; Total nodes checked ; 8 ; +; Total output ports checked ; 8 ; +; Total output ports with complete 1/0-value coverage ; 8 ; +; Total output ports with no 1/0-value coverage ; 0 ; +; Total output ports with no 1-value coverage ; 0 ; +; Total output ports with no 0-value coverage ; 0 ; ++-----------------------------------------------------+--------------+ + + +The following table displays output ports that toggle between 1 and 0 during simulation. ++------------------------------------------------------------+ +; Complete 1/0-Value Coverage ; ++--------------------+--------------------+------------------+ +; Node Name ; Output Port Name ; Output Port Type ; ++--------------------+--------------------+------------------+ +; |sequenceur2|COUNT ; |sequenceur2|COUNT ; pin_out ; +; |sequenceur2|inst3 ; |sequenceur2|inst3 ; regout ; +; |sequenceur2|H ; |sequenceur2|H ; out ; +; |sequenceur2|inst2 ; |sequenceur2|inst2 ; out0 ; +; |sequenceur2|sbp1 ; |sequenceur2|sbp1 ; out ; +; |sequenceur2|inst1 ; |sequenceur2|inst1 ; out0 ; +; |sequenceur2|sbp2 ; |sequenceur2|sbp2 ; out ; +; |sequenceur2|RESET ; |sequenceur2|RESET ; pin_out ; ++--------------------+--------------------+------------------+ + + +The following table displays output ports that do not toggle to 1 during simulation. ++-------------------------------------------------+ +; Missing 1-Value Coverage ; ++-----------+------------------+------------------+ +; Node Name ; Output Port Name ; Output Port Type ; ++-----------+------------------+------------------+ + + +The following table displays output ports that do not toggle to 0 during simulation. ++-------------------------------------------------+ +; Missing 0-Value Coverage ; ++-----------+------------------+------------------+ +; Node Name ; Output Port Name ; Output Port Type ; ++-----------+------------------+------------------+ + + ++---------------------+ +; Simulator INI Usage ; ++--------+------------+ +; Option ; Usage ; ++--------+------------+ + + ++--------------------+ +; Simulator Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus II Simulator + Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + Info: Processing started: Wed Jan 24 16:29:11 2018 +Info: Command: quartus_sim --read_settings_files=on --write_settings_files=off Chronometre -c Chronometre +Info: Using vector source file "U:/SLEA/sequenceur2.vwf" +Info: Overwriting simulation input file with simulation results + Info: A backup of sequenceur2.vwf called Chronometre.sim_ori.vwf has been created in the db folder +Info: Option to preserve fewer signal transitions to reduce memory requirements is enabled + Info: Simulation has been partitioned into sub-simulations according to the maximum transition count determined by the engine. Transitions from memory will be flushed out to disk at the end of each sub-simulation to reduce memory requirements. +Warning: Found clock-sensitive change during active clock edge at time 10.0 ms on register "|sequenceur2|inst3" +Info: Simulation partitioned into 1 sub-simulations +Info: Simulation coverage is 100.00 % +Info: Number of transitions in simulation is 57 +Info: Vector file sequenceur2.vwf is saved in VWF text format. You can compress it into CVWF format in order to reduce file size. For more details please refer to the Quartus II Help. +Info: Quartus II Simulator was successful. 0 errors, 1 warning + Info: Peak virtual memory: 134 megabytes + Info: Processing ended: Wed Jan 24 16:29:12 2018 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/SLEA/Chronometre.sof b/SLEA/Chronometre.sof new file mode 100644 index 0000000000000000000000000000000000000000..7b7878ec06aff4812bc6476b97fc84cc97c3c1f5 GIT binary patch literal 110268 zcmeI5O^@8R8OJHDJRZBdiR>8Y!U$5@HH=)^OdQuqfTG|gj*+wh>co9Jt`i&RqHer! z?4q~OYr|L+K@k)=^tdQ`EDC>w4T1tjPyPr#^_*jG?L+EiMw&NjhX08;^DwX^O5~pp ze|q$YLur+3KffYOI!gZ{agCNA+`E3~?!8atd+*7&Z~uOG=hn?T@>e(Se7bY{6Zz&3 zd-AP&JGXAg3$Oi1_Ab5h>g%su==I)|*KXeV!_LPy<<;vS|4v?z*M2I0ee)yv&W)YB zR87PpCE<6jUU{|mv)6kczJ1|h?*|vfnm9&#fBDJXox8Vg-Vi6Lm=K@+?**|zYm>V4 zpRww*6XMuEBfCq8|J>f7UA^^3U-dRSUvF=&Ke#?IW9v;XR;aCsE!j=(%QV?jX*Zsj z5hhJ9mgH)-I4=qUdac;!5zuqhUb@O^ z>3Y=S4vm>kY4JJ0O{~!j=9o!L-QF|kJU5=t_C?Nf-Xd|0$NM;by#bwIPo=H>=JYXZd1=0zlDHv%TM*kOPB`$dE_oD;o#!tG;ubEsf?SK#_`ZFX$4cBC`^;IWokY%uFJZ< zH!UsRwkDD1UO=++Zqdra3WZvD_J9P@ZwA7U5)F*&*D1Lg_r%YR_lJlBr z9wS|w5Ak;B+P4|X$rD1@8HU_ZCcp%k025#WOn?b6ffXj81>h^>BnnE(>Pp~aGlb#JpO49=nHzkX#(8c$ zdp6qO(=-SUaLwbe7I04waZjybFaj0Ek>-iVic9E9&SwVs0WqI;R<&@mRydbd)h>9< zNsn5~AcYGaIKNv4?mqi~BMp1=z-RXtrzK%c;YN5clLHmTk;dH)14WH8yL^Ahe*?8SGB0T`gFVa=74ud-GsLPK|Rnaino?9yoWdO$9Z~7zI;i;VJ$WH@M=8>Br9Qd;zoEdlLHmTk;aYi!bA-V9y&}O0a}eC zt#C9XOd1zEgoxee-A(@z_gCZ_e0s+yJ3lVK4IKsjA9YcQqcn;v>oNf*zyz286JP>N zfC(@GCcp%k025#WOn?b60VV(;fSc4rPVz!f7*XfB@qC&&a-Q=RiL*A@A?W*Sk)yUL z&8bg-pC0yE1iGbRb}N{2n8Fb?GI*K!7P<9Wfrayz>&tic~I>^ zC^=m4fQ|4%LAx+JVWjoKx)4S~xZr^s;e|nVaaQ6;<3@OkqvNq9?NO^LrK(xZ!3f|; zOPI}J3gdzYZiE*mX`IzK(y$R8&84G~LJ5;@!+G}xugE*Urk{-?oF5n9hK~Gc8la=G zG!tL~On?b60Vco%m;e)C0!)AjFaajO1egF5U;<$gkT7$Rle{paMeIE1ZEZ-L=e+4N zFRt2F+3{6+DrSrGq9lL=f_tV_8qXV+0FE^7>0ywkPQt7XGycPH0EKa+aqou#aR8-Z z!9xR$X6Zo!IMQmc8Q!M%Qcwvu!b4dcsxFQ+ZiE*kYX!4f!jxIKN&rV1H^Qs-Ae0;~ zc)&(@p`cxuoiNgRVO!Pu< zwk?iXz+75m>>Z^lN9|nQeJX^m>ky1&8<_wTU;<2l2{3_062SeYU1Tr9XlvY0ahaJv z&o6|kv<`y@TRBKT4rY|NoMn?Q#Ys)S|BspMtRBMNG067Y+P0zA=d)ZR*cF?j8}H#Qpe${F6FC zXYQ;jZVyzZaVqL&75B_a(LZ{dyMIK}j)qA$Ebm@i*`l;02{jGZ{iiC_W zXAMTp+g{+OX((LuPhA#B2Ci@C*Ys2C2h#}CNuXN`VQQ3y%BM!9U}XQdxQ+BC6<$BI zMDX?1QiwOi#+l@zCNM7@MkK4Kwdb9xPb#$5 zjl_X*WgY)Uhed!79`rdZ@xjy<>M2FA)QIPC9oyP3K8Kp6L zA5k2Uwo}4;U=F0>`^E9mMT?^j>#m7EYdX3rB4vti8vlFIq2kmc+LYKdi+9OzkscZr zQkfmilCBq*X2(J8FoCD z&zXz*`GfdH9VOx=Wv&!8gV0bF71ISL#X}*!i0QKFM$t3s#0)rwl*J`|REXEM*WQ1) z@i-EPoxesTOQ*4MQuP{I(bY%B8kbIDkq%u=^$O$iQV6;-22*Yyg^ajI`^jQ*>A5cy z(}FBiNIGlLT;AE%d`rD$>&wfXe;db8bOPxgMd`+gOsuh#Mk5ucm30YaSyYLc0@G70 z^j@XZH{ya2{}pQo$uBe=INi-%+Jo;Mr#|TD@4E9;Y+C5gC{Om=cv3N9YNi%`&p-JN z-L|*aKG$cyA(y7}n7K4%8mC9K8pgVaT-x9s81!~*4{Bv6Wyz?~Xn~G#69e!ljGOG?E`t z_)x^QJDMydyJ=gEDAjD&)2#S+54z{}h{>OAR+;eHOlM%ypr}ZZlu}QVLsOLAwmB1} zX{6IA=^@gW?4D;^w{&EpG-=YvpD6D((xt*`OoerEUv!D@W;eH6P$xVk8XatxQnN%R zvTIDDS%l2H>3EN>^)bc9?$+aus7@Ri9$BJ+$IHdG<64a^SNUZ{O^h!&R$|P71MyH_ zDUKQ0#x7k{uCMiZZLYp7&EnGaL0F+hUaU91DRZ-oA4>-)Rf>&7rinKMR+NH}O*FFE z&f8U*qIAh7KR)|PWiuX`fXpK5>}s~=URGaqqNp4%njbDrUAlj%)eWLyhb%$Q;?i+d zQ6D{-6MZJWH11~85k;%AnQUL<<)+Bxw6;+?6WFJnO`~+Z>0b1ceAoN;kI{^W&1|2Y z#idnUJL&@}U2UeDtgI`W`>IW;E?wG=rFVx)&b%XC?+-P|rS;q=Q9sCS>3kIRG0-{e zXJ$4wuW07ju~ z;nM%mM|-8Av$*tdl+In6#=AqU7JYDAn_E+?=nfOnXkc zm=Ig-tUP2nOxjm7{UB6ROpg>haE%u&%)jp2sO9`>eFd!suFSn+f77eGs5g53L9tsE z8@y%$OkimU$ffBCcLYv=?l%hF2sgk4gdO@xA+%wk%%VL>x5pH=gbB2qz`$>mmRri^ zF##sP1eie31m>LGMfcr~Y$1(|p80F}{nvSJJex&zn|6;)F%day+tXnyIn}Ync~KBh z#fJB_^sdN)qY2B==cxp%F0TQzSDZZp*?6UlLRh!?Bg)?pZDV0@U9GV z>G5yk&E1C}LC@Xmu>yMRk$DfOc?Gz>BLN&~>1e^K6vG7%+z4+~&W&q7ainp#L)X5w zs+@#bgB#(&Ob%2SN4n)Rt_we3u;8H=-j!8t5Jy_!kV=>|E_T>2#5lgkZo8MbzasDa zntnEpaDH5X8#;>q-+*{OmmHNfC(^xRuSmItSP^10Ba#EVcAXY z)5kCNRN9TVCT8s1$n;{Rn!es!fAm#vv-9=#=K6z)8DY}&Vucz#m^8op*7p7ndSM_Z literal 0 HcmV?d00001 diff --git a/SLEA/Chronometre.tan.rpt b/SLEA/Chronometre.tan.rpt new file mode 100644 index 0000000..b302469 --- /dev/null +++ b/SLEA/Chronometre.tan.rpt @@ -0,0 +1,503 @@ +Classic Timing Analyzer report for Chronometre +Wed Jan 24 17:22:10 2018 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Timing Analyzer Summary + 3. Timing Analyzer Settings + 4. Clock Settings Summary + 5. Parallel Compilation + 6. Clock Setup: 'H' + 7. tsu + 8. tco + 9. th + 10. Timing Analyzer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Timing Analyzer Summary ; ++------------------------------+-------+---------------+----------------------------------+-----------------------------------------------------+------------------------------------------------------+------------+----------+--------------+ +; Type ; Slack ; Required Time ; Actual Time ; From ; To ; From Clock ; To Clock ; Failed Paths ; ++------------------------------+-------+---------------+----------------------------------+-----------------------------------------------------+------------------------------------------------------+------------+----------+--------------+ +; Worst-case tsu ; N/A ; None ; 0.100 ns ; BP2 ; BoutonPoussoir2:inst16|inst ; -- ; H ; 0 ; +; Worst-case tco ; N/A ; None ; 40.800 ns ; CheminDeDonnees:inst|74168:inst1|49 ; a1 ; H ; -- ; 0 ; +; Worst-case th ; N/A ; None ; 5.700 ns ; BP1 ; BoutonPoussoir2:inst15|inst ; -- ; H ; 0 ; +; Clock Setup: 'H' ; N/A ; None ; 42.02 MHz ( period = 23.800 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|3 ; H ; H ; 0 ; +; Total number of failed paths ; ; ; ; ; ; ; ; 0 ; ++------------------------------+-------+---------------+----------------------------------+-----------------------------------------------------+------------------------------------------------------+------------+----------+--------------+ + + ++--------------------------------------------------------------------------------------------------------------------+ +; Timing Analyzer Settings ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ +; Option ; Setting ; From ; To ; Entity Name ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ +; Device Name ; EPF10K70RC240-4 ; ; ; ; +; Timing Models ; Final ; ; ; ; +; Default hold multicycle ; Same as Multicycle ; ; ; ; +; Cut paths between unrelated clock domains ; On ; ; ; ; +; Cut off read during write signal paths ; On ; ; ; ; +; Cut off feedback from I/O pins ; On ; ; ; ; +; Report Combined Fast/Slow Timing ; Off ; ; ; ; +; Ignore Clock Settings ; Off ; ; ; ; +; Analyze latches as synchronous elements ; On ; ; ; ; +; Enable Recovery/Removal analysis ; Off ; ; ; ; +; Enable Clock Latency ; Off ; ; ; ; +; Use TimeQuest Timing Analyzer ; Off ; ; ; ; +; Minimum Core Junction Temperature ; 0 ; ; ; ; +; Maximum Core Junction Temperature ; 85 ; ; ; ; +; Number of source nodes to report per destination node ; 10 ; ; ; ; +; Number of destination nodes to report ; 10 ; ; ; ; +; Number of paths to report ; 200 ; ; ; ; +; Report Minimum Timing Checks ; Off ; ; ; ; +; Use Fast Timing Models ; Off ; ; ; ; +; Report IO Paths Separately ; Off ; ; ; ; +; Perform Multicorner Analysis ; Off ; ; ; ; +; Reports the worst-case path for each clock domain and analysis ; Off ; ; ; ; +; Removes common clock path pessimism (CCPP) during slack computation ; Off ; ; ; ; +; Output I/O Timing Endpoint ; Near End ; ; ; ; ++---------------------------------------------------------------------+--------------------+------+----+-------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Clock Settings Summary ; ++-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+ +; Clock Node Name ; Clock Setting Name ; Type ; Fmax Requirement ; Early Latency ; Late Latency ; Based on ; Multiply Base Fmax by ; Divide Base Fmax by ; Offset ; Phase offset ; ++-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+ +; H ; ; User Pin ; None ; 0.000 ns ; 0.000 ns ; -- ; N/A ; N/A ; N/A ; ; ++-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+ + + +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 2 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Clock Setup: 'H' ; ++-----------------------------------------+-----------------------------------------------------+------------------------------------------------------+------------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+ +; Slack ; Actual fmax (period) ; From ; To ; From Clock ; To Clock ; Required Setup Relationship ; Required Longest P2P Time ; Actual Longest P2P Time ; ++-----------------------------------------+-----------------------------------------------------+------------------------------------------------------+------------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+ +; N/A ; 42.02 MHz ( period = 23.800 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|2 ; H ; H ; None ; None ; 19.700 ns ; +; N/A ; 42.02 MHz ( period = 23.800 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|3 ; H ; H ; None ; None ; 19.700 ns ; +; N/A ; 42.37 MHz ( period = 23.600 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|7 ; H ; H ; None ; None ; 19.600 ns ; +; N/A ; 42.37 MHz ( period = 23.600 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; H ; H ; None ; None ; 19.600 ns ; +; N/A ; 42.37 MHz ( period = 23.600 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; H ; H ; None ; None ; 19.600 ns ; +; N/A ; 42.55 MHz ( period = 23.500 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|2 ; H ; H ; None ; None ; 19.400 ns ; +; N/A ; 42.55 MHz ( period = 23.500 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|3 ; H ; H ; None ; None ; 19.400 ns ; +; N/A ; 42.92 MHz ( period = 23.300 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|7 ; H ; H ; None ; None ; 19.300 ns ; +; N/A ; 42.92 MHz ( period = 23.300 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; H ; H ; None ; None ; 19.300 ns ; +; N/A ; 42.92 MHz ( period = 23.300 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; H ; H ; None ; None ; 19.300 ns ; +; N/A ; 43.10 MHz ( period = 23.200 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|2 ; H ; H ; None ; None ; 19.100 ns ; +; N/A ; 43.10 MHz ( period = 23.200 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|3 ; H ; H ; None ; None ; 19.100 ns ; +; N/A ; 43.48 MHz ( period = 23.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|7 ; H ; H ; None ; None ; 19.000 ns ; +; N/A ; 43.48 MHz ( period = 23.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; H ; H ; None ; None ; 19.000 ns ; +; N/A ; 43.48 MHz ( period = 23.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; H ; H ; None ; None ; 19.000 ns ; +; N/A ; 43.67 MHz ( period = 22.900 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|5 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|2 ; H ; H ; None ; None ; 18.800 ns ; +; N/A ; 43.67 MHz ( period = 22.900 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|5 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|3 ; H ; H ; None ; None ; 18.800 ns ; +; N/A ; 44.05 MHz ( period = 22.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|5 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|7 ; H ; H ; None ; None ; 18.700 ns ; +; N/A ; 44.05 MHz ( period = 22.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|5 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; H ; H ; None ; None ; 18.700 ns ; +; N/A ; 44.05 MHz ( period = 22.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|5 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; H ; H ; None ; None ; 18.700 ns ; +; N/A ; 46.51 MHz ( period = 21.500 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|2 ; H ; H ; None ; None ; 17.400 ns ; +; N/A ; 46.51 MHz ( period = 21.500 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|3 ; H ; H ; None ; None ; 17.400 ns ; +; N/A ; 46.95 MHz ( period = 21.300 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|7 ; H ; H ; None ; None ; 17.300 ns ; +; N/A ; 46.95 MHz ( period = 21.300 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; H ; H ; None ; None ; 17.300 ns ; +; N/A ; 46.95 MHz ( period = 21.300 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; H ; H ; None ; None ; 17.300 ns ; +; N/A ; 47.17 MHz ( period = 21.200 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|3 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|2 ; H ; H ; None ; None ; 17.100 ns ; +; N/A ; 47.17 MHz ( period = 21.200 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|3 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|3 ; H ; H ; None ; None ; 17.100 ns ; +; N/A ; 47.17 MHz ( period = 21.200 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; H ; H ; None ; None ; 17.200 ns ; +; N/A ; 47.17 MHz ( period = 21.200 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; H ; H ; None ; None ; 17.200 ns ; +; N/A ; 47.62 MHz ( period = 21.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|3 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|7 ; H ; H ; None ; None ; 17.000 ns ; +; N/A ; 47.62 MHz ( period = 21.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|3 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; H ; H ; None ; None ; 17.000 ns ; +; N/A ; 47.62 MHz ( period = 21.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|3 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; H ; H ; None ; None ; 17.000 ns ; +; N/A ; 47.85 MHz ( period = 20.900 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|2 ; H ; H ; None ; None ; 16.800 ns ; +; N/A ; 47.85 MHz ( period = 20.900 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|3 ; H ; H ; None ; None ; 16.800 ns ; +; N/A ; 47.85 MHz ( period = 20.900 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; H ; H ; None ; None ; 16.900 ns ; +; N/A ; 47.85 MHz ( period = 20.900 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; H ; H ; None ; None ; 16.900 ns ; +; N/A ; 48.31 MHz ( period = 20.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|7 ; H ; H ; None ; None ; 16.700 ns ; +; N/A ; 48.31 MHz ( period = 20.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; H ; H ; None ; None ; 16.700 ns ; +; N/A ; 48.31 MHz ( period = 20.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; H ; H ; None ; None ; 16.700 ns ; +; N/A ; 48.54 MHz ( period = 20.600 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|1 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|2 ; H ; H ; None ; None ; 16.500 ns ; +; N/A ; 48.54 MHz ( period = 20.600 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|1 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|3 ; H ; H ; None ; None ; 16.500 ns ; +; N/A ; 48.54 MHz ( period = 20.600 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; H ; H ; None ; None ; 16.600 ns ; +; N/A ; 48.54 MHz ( period = 20.600 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; H ; H ; None ; None ; 16.600 ns ; +; N/A ; 49.02 MHz ( period = 20.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|1 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|7 ; H ; H ; None ; None ; 16.400 ns ; +; N/A ; 49.02 MHz ( period = 20.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|1 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; H ; H ; None ; None ; 16.400 ns ; +; N/A ; 49.02 MHz ( period = 20.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|1 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; H ; H ; None ; None ; 16.400 ns ; +; N/A ; 49.26 MHz ( period = 20.300 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|8 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|2 ; H ; H ; None ; None ; 16.200 ns ; +; N/A ; 49.26 MHz ( period = 20.300 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|8 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|3 ; H ; H ; None ; None ; 16.200 ns ; +; N/A ; 49.26 MHz ( period = 20.300 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|5 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; H ; H ; None ; None ; 16.300 ns ; +; N/A ; 49.26 MHz ( period = 20.300 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|5 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; H ; H ; None ; None ; 16.300 ns ; +; N/A ; 49.75 MHz ( period = 20.100 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|8 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|7 ; H ; H ; None ; None ; 16.100 ns ; +; N/A ; 49.75 MHz ( period = 20.100 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|8 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; H ; H ; None ; None ; 16.100 ns ; +; N/A ; 49.75 MHz ( period = 20.100 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|8 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; H ; H ; None ; None ; 16.100 ns ; +; N/A ; 50.00 MHz ( period = 20.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|7 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|2 ; H ; H ; None ; None ; 15.900 ns ; +; N/A ; 50.00 MHz ( period = 20.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|7 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|3 ; H ; H ; None ; None ; 15.900 ns ; +; N/A ; 50.51 MHz ( period = 19.800 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|7 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|7 ; H ; H ; None ; None ; 15.800 ns ; +; N/A ; 50.51 MHz ( period = 19.800 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|7 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; H ; H ; None ; None ; 15.800 ns ; +; N/A ; 50.51 MHz ( period = 19.800 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|7 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; H ; H ; None ; None ; 15.800 ns ; +; N/A ; 50.76 MHz ( period = 19.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|6 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|2 ; H ; H ; None ; None ; 15.600 ns ; +; N/A ; 50.76 MHz ( period = 19.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|6 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|3 ; H ; H ; None ; None ; 15.600 ns ; +; N/A ; 51.28 MHz ( period = 19.500 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|6 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|7 ; H ; H ; None ; None ; 15.500 ns ; +; N/A ; 51.28 MHz ( period = 19.500 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|6 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; H ; H ; None ; None ; 15.500 ns ; +; N/A ; 51.28 MHz ( period = 19.500 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|6 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; H ; H ; None ; None ; 15.500 ns ; +; N/A ; 51.55 MHz ( period = 19.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|5 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|2 ; H ; H ; None ; None ; 15.300 ns ; +; N/A ; 51.55 MHz ( period = 19.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|5 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|3 ; H ; H ; None ; None ; 15.300 ns ; +; N/A ; 52.08 MHz ( period = 19.200 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|5 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|7 ; H ; H ; None ; None ; 15.200 ns ; +; N/A ; 52.08 MHz ( period = 19.200 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|5 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; H ; H ; None ; None ; 15.200 ns ; +; N/A ; 52.08 MHz ( period = 19.200 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|5 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; H ; H ; None ; None ; 15.200 ns ; +; N/A ; 52.91 MHz ( period = 18.900 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; H ; H ; None ; None ; 14.900 ns ; +; N/A ; 52.91 MHz ( period = 18.900 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; H ; H ; None ; None ; 14.900 ns ; +; N/A ; 53.76 MHz ( period = 18.600 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|3 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; H ; H ; None ; None ; 14.600 ns ; +; N/A ; 53.76 MHz ( period = 18.600 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|3 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; H ; H ; None ; None ; 14.600 ns ; +; N/A ; 54.64 MHz ( period = 18.300 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|5 ; H ; H ; None ; None ; 14.300 ns ; +; N/A ; 54.64 MHz ( period = 18.300 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|6 ; H ; H ; None ; None ; 14.300 ns ; +; N/A ; 54.64 MHz ( period = 18.300 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|8 ; H ; H ; None ; None ; 14.300 ns ; +; N/A ; 54.64 MHz ( period = 18.300 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|1 ; H ; H ; None ; None ; 14.300 ns ; +; N/A ; 54.64 MHz ( period = 18.300 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|3 ; H ; H ; None ; None ; 14.300 ns ; +; N/A ; 54.64 MHz ( period = 18.300 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|5 ; H ; H ; None ; None ; 14.300 ns ; +; N/A ; 54.64 MHz ( period = 18.300 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; H ; H ; None ; None ; 14.300 ns ; +; N/A ; 54.64 MHz ( period = 18.300 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; H ; H ; None ; None ; 14.300 ns ; +; N/A ; 54.64 MHz ( period = 18.300 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8 ; H ; H ; None ; None ; 14.300 ns ; +; N/A ; 54.95 MHz ( period = 18.200 ns ) ; sequenceur2:inst17|inst3 ; CheminDeDonnees:inst|74168:inst2|49 ; H ; H ; None ; None ; 14.200 ns ; +; N/A ; 54.95 MHz ( period = 18.200 ns ) ; CheminDeDonnees:inst|74168:inst1|3 ; CheminDeDonnees:inst|74168:inst2|49 ; H ; H ; None ; None ; 14.200 ns ; +; N/A ; 54.95 MHz ( period = 18.200 ns ) ; CheminDeDonnees:inst|74168:inst8|49 ; CheminDeDonnees:inst|74168:inst2|49 ; H ; H ; None ; None ; 14.200 ns ; +; N/A ; 54.95 MHz ( period = 18.200 ns ) ; sequenceur2:inst17|inst3 ; CheminDeDonnees:inst|74168:inst2|29 ; H ; H ; None ; None ; 14.200 ns ; +; N/A ; 54.95 MHz ( period = 18.200 ns ) ; CheminDeDonnees:inst|74168:inst1|3 ; CheminDeDonnees:inst|74168:inst2|29 ; H ; H ; None ; None ; 14.200 ns ; +; N/A ; 54.95 MHz ( period = 18.200 ns ) ; CheminDeDonnees:inst|74168:inst8|49 ; CheminDeDonnees:inst|74168:inst2|29 ; H ; H ; None ; None ; 14.200 ns ; +; N/A ; 55.56 MHz ( period = 18.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8 ; DiviseurDeFrequence:inst1|inst10 ; H ; H ; None ; None ; 14.000 ns ; +; N/A ; 55.56 MHz ( period = 18.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|5 ; H ; H ; None ; None ; 14.000 ns ; +; N/A ; 55.56 MHz ( period = 18.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|6 ; H ; H ; None ; None ; 14.000 ns ; +; N/A ; 55.56 MHz ( period = 18.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|8 ; H ; H ; None ; None ; 14.000 ns ; +; N/A ; 55.56 MHz ( period = 18.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|1 ; H ; H ; None ; None ; 14.000 ns ; +; N/A ; 55.56 MHz ( period = 18.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|3 ; H ; H ; None ; None ; 14.000 ns ; +; N/A ; 55.56 MHz ( period = 18.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|5 ; H ; H ; None ; None ; 14.000 ns ; +; N/A ; 55.56 MHz ( period = 18.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|1 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; H ; H ; None ; None ; 14.000 ns ; +; N/A ; 55.56 MHz ( period = 18.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|1 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; H ; H ; None ; None ; 14.000 ns ; +; N/A ; 55.56 MHz ( period = 18.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8 ; H ; H ; None ; None ; 14.000 ns ; +; N/A ; 55.87 MHz ( period = 17.900 ns ) ; CheminDeDonnees:inst|74168:inst8|3 ; CheminDeDonnees:inst|74168:inst2|49 ; H ; H ; None ; None ; 13.900 ns ; +; N/A ; 55.87 MHz ( period = 17.900 ns ) ; CheminDeDonnees:inst|74168:inst8|3 ; CheminDeDonnees:inst|74168:inst2|29 ; H ; H ; None ; None ; 13.900 ns ; +; N/A ; 55.87 MHz ( period = 17.900 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|4 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|2 ; H ; H ; None ; None ; 13.900 ns ; +; N/A ; 55.87 MHz ( period = 17.900 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|4 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|3 ; H ; H ; None ; None ; 13.900 ns ; +; N/A ; 56.50 MHz ( period = 17.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; DiviseurDeFrequence:inst1|inst10 ; H ; H ; None ; None ; 13.700 ns ; +; N/A ; 56.50 MHz ( period = 17.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|5 ; H ; H ; None ; None ; 13.700 ns ; +; N/A ; 56.50 MHz ( period = 17.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|6 ; H ; H ; None ; None ; 13.700 ns ; +; N/A ; 56.50 MHz ( period = 17.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|4 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|7 ; H ; H ; None ; None ; 13.800 ns ; +; N/A ; 56.50 MHz ( period = 17.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|8 ; H ; H ; None ; None ; 13.700 ns ; +; N/A ; 56.50 MHz ( period = 17.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|1 ; H ; H ; None ; None ; 13.700 ns ; +; N/A ; 56.50 MHz ( period = 17.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|4 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; H ; H ; None ; None ; 13.800 ns ; +; N/A ; 56.50 MHz ( period = 17.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|3 ; H ; H ; None ; None ; 13.700 ns ; +; N/A ; 56.50 MHz ( period = 17.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|4 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; H ; H ; None ; None ; 13.800 ns ; +; N/A ; 56.50 MHz ( period = 17.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|5 ; H ; H ; None ; None ; 13.700 ns ; +; N/A ; 56.50 MHz ( period = 17.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|8 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; H ; H ; None ; None ; 13.700 ns ; +; N/A ; 56.50 MHz ( period = 17.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|8 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; H ; H ; None ; None ; 13.700 ns ; +; N/A ; 56.50 MHz ( period = 17.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8 ; H ; H ; None ; None ; 13.700 ns ; +; N/A ; 56.82 MHz ( period = 17.600 ns ) ; BoutonPoussoir2:inst15|inst ; CheminDeDonnees:inst|74168:inst8|49 ; H ; H ; None ; None ; 13.600 ns ; +; N/A ; 56.82 MHz ( period = 17.600 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|3 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|2 ; H ; H ; None ; None ; 13.600 ns ; +; N/A ; 56.82 MHz ( period = 17.600 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|3 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|3 ; H ; H ; None ; None ; 13.600 ns ; +; N/A ; 57.47 MHz ( period = 17.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; DiviseurDeFrequence:inst1|inst10 ; H ; H ; None ; None ; 13.400 ns ; +; N/A ; 57.47 MHz ( period = 17.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|5 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|5 ; H ; H ; None ; None ; 13.400 ns ; +; N/A ; 57.47 MHz ( period = 17.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|5 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|6 ; H ; H ; None ; None ; 13.400 ns ; +; N/A ; 57.47 MHz ( period = 17.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|3 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|7 ; H ; H ; None ; None ; 13.500 ns ; +; N/A ; 57.47 MHz ( period = 17.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|5 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|8 ; H ; H ; None ; None ; 13.400 ns ; +; N/A ; 57.47 MHz ( period = 17.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|5 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|1 ; H ; H ; None ; None ; 13.400 ns ; +; N/A ; 57.47 MHz ( period = 17.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|3 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; H ; H ; None ; None ; 13.500 ns ; +; N/A ; 57.47 MHz ( period = 17.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|5 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|3 ; H ; H ; None ; None ; 13.400 ns ; +; N/A ; 57.47 MHz ( period = 17.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|3 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; H ; H ; None ; None ; 13.500 ns ; +; N/A ; 57.47 MHz ( period = 17.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|5 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|5 ; H ; H ; None ; None ; 13.400 ns ; +; N/A ; 57.47 MHz ( period = 17.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|7 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; H ; H ; None ; None ; 13.400 ns ; +; N/A ; 57.47 MHz ( period = 17.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|7 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; H ; H ; None ; None ; 13.400 ns ; +; N/A ; 57.47 MHz ( period = 17.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|5 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8 ; H ; H ; None ; None ; 13.400 ns ; +; N/A ; 57.80 MHz ( period = 17.300 ns ) ; BoutonPoussoir2:inst15|inst5 ; CheminDeDonnees:inst|74168:inst8|49 ; H ; H ; None ; None ; 13.300 ns ; +; N/A ; 57.80 MHz ( period = 17.300 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|2 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|2 ; H ; H ; None ; None ; 13.300 ns ; +; N/A ; 57.80 MHz ( period = 17.300 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|2 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|3 ; H ; H ; None ; None ; 13.300 ns ; +; N/A ; 58.48 MHz ( period = 17.100 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|5 ; DiviseurDeFrequence:inst1|inst10 ; H ; H ; None ; None ; 13.100 ns ; +; N/A ; 58.48 MHz ( period = 17.100 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|2 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|7 ; H ; H ; None ; None ; 13.200 ns ; +; N/A ; 58.48 MHz ( period = 17.100 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|2 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; H ; H ; None ; None ; 13.200 ns ; +; N/A ; 58.48 MHz ( period = 17.100 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|2 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; H ; H ; None ; None ; 13.200 ns ; +; N/A ; 58.48 MHz ( period = 17.100 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|6 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; H ; H ; None ; None ; 13.100 ns ; +; N/A ; 58.48 MHz ( period = 17.100 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|6 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; H ; H ; None ; None ; 13.100 ns ; +; N/A ; 58.82 MHz ( period = 17.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|1 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|2 ; H ; H ; None ; None ; 13.000 ns ; +; N/A ; 58.82 MHz ( period = 17.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|1 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|3 ; H ; H ; None ; None ; 13.000 ns ; +; N/A ; 59.52 MHz ( period = 16.800 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|1 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|7 ; H ; H ; None ; None ; 12.900 ns ; +; N/A ; 59.52 MHz ( period = 16.800 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|1 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; H ; H ; None ; None ; 12.900 ns ; +; N/A ; 59.52 MHz ( period = 16.800 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|1 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; H ; H ; None ; None ; 12.900 ns ; +; N/A ; 59.52 MHz ( period = 16.800 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|5 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; H ; H ; None ; None ; 12.800 ns ; +; N/A ; 59.52 MHz ( period = 16.800 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|5 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; H ; H ; None ; None ; 12.800 ns ; +; N/A ; 62.50 MHz ( period = 16.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|1 ; H ; H ; None ; None ; 11.900 ns ; +; N/A ; 62.50 MHz ( period = 16.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|4 ; H ; H ; None ; None ; 11.900 ns ; +; N/A ; 62.50 MHz ( period = 16.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|5 ; H ; H ; None ; None ; 12.000 ns ; +; N/A ; 62.50 MHz ( period = 16.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|6 ; H ; H ; None ; None ; 12.000 ns ; +; N/A ; 62.50 MHz ( period = 16.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|8 ; H ; H ; None ; None ; 12.000 ns ; +; N/A ; 62.50 MHz ( period = 16.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|1 ; H ; H ; None ; None ; 12.000 ns ; +; N/A ; 62.50 MHz ( period = 16.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|3 ; H ; H ; None ; None ; 12.000 ns ; +; N/A ; 62.50 MHz ( period = 16.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|5 ; H ; H ; None ; None ; 12.000 ns ; +; N/A ; 62.50 MHz ( period = 16.000 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8 ; H ; H ; None ; None ; 12.000 ns ; +; N/A ; 62.89 MHz ( period = 15.900 ns ) ; CheminDeDonnees:inst|74168:inst1|49 ; CheminDeDonnees:inst|74168:inst2|49 ; H ; H ; None ; None ; 11.900 ns ; +; N/A ; 62.89 MHz ( period = 15.900 ns ) ; CheminDeDonnees:inst|74168:inst1|49 ; CheminDeDonnees:inst|74168:inst2|29 ; H ; H ; None ; None ; 11.900 ns ; +; N/A ; 63.69 MHz ( period = 15.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|4 ; DiviseurDeFrequence:inst1|inst10 ; H ; H ; None ; None ; 11.700 ns ; +; N/A ; 63.69 MHz ( period = 15.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|1 ; H ; H ; None ; None ; 11.600 ns ; +; N/A ; 63.69 MHz ( period = 15.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|4 ; H ; H ; None ; None ; 11.600 ns ; +; N/A ; 63.69 MHz ( period = 15.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|3 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|5 ; H ; H ; None ; None ; 11.700 ns ; +; N/A ; 63.69 MHz ( period = 15.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|3 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|6 ; H ; H ; None ; None ; 11.700 ns ; +; N/A ; 63.69 MHz ( period = 15.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|3 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|8 ; H ; H ; None ; None ; 11.700 ns ; +; N/A ; 63.69 MHz ( period = 15.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|3 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|1 ; H ; H ; None ; None ; 11.700 ns ; +; N/A ; 63.69 MHz ( period = 15.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|3 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|3 ; H ; H ; None ; None ; 11.700 ns ; +; N/A ; 63.69 MHz ( period = 15.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|3 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|5 ; H ; H ; None ; None ; 11.700 ns ; +; N/A ; 63.69 MHz ( period = 15.700 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|3 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8 ; H ; H ; None ; None ; 11.700 ns ; +; N/A ; 64.10 MHz ( period = 15.600 ns ) ; BoutonPoussoir2:inst15|inst ; CheminDeDonnees:inst|74168:inst2|49 ; H ; H ; None ; None ; 11.600 ns ; +; N/A ; 64.10 MHz ( period = 15.600 ns ) ; BoutonPoussoir2:inst15|inst ; CheminDeDonnees:inst|74168:inst2|29 ; H ; H ; None ; None ; 11.600 ns ; +; N/A ; 64.52 MHz ( period = 15.500 ns ) ; sequenceur2:inst17|inst3 ; CheminDeDonnees:inst|74168:inst2|15 ; H ; H ; None ; None ; 11.500 ns ; +; N/A ; 64.52 MHz ( period = 15.500 ns ) ; CheminDeDonnees:inst|74168:inst1|3 ; CheminDeDonnees:inst|74168:inst2|15 ; H ; H ; None ; None ; 11.500 ns ; +; N/A ; 64.52 MHz ( period = 15.500 ns ) ; CheminDeDonnees:inst|74168:inst8|49 ; CheminDeDonnees:inst|74168:inst2|15 ; H ; H ; None ; None ; 11.500 ns ; +; N/A ; 64.94 MHz ( period = 15.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|3 ; DiviseurDeFrequence:inst1|inst10 ; H ; H ; None ; None ; 11.400 ns ; +; N/A ; 64.94 MHz ( period = 15.400 ns ) ; sequenceur2:inst17|inst3 ; CheminDeDonnees:inst|74168:inst1|49 ; H ; H ; None ; None ; 11.400 ns ; +; N/A ; 64.94 MHz ( period = 15.400 ns ) ; CheminDeDonnees:inst|74168:inst1|3 ; CheminDeDonnees:inst|74168:inst1|49 ; H ; H ; None ; None ; 11.400 ns ; +; N/A ; 64.94 MHz ( period = 15.400 ns ) ; CheminDeDonnees:inst|74168:inst8|49 ; CheminDeDonnees:inst|74168:inst1|49 ; H ; H ; None ; None ; 11.400 ns ; +; N/A ; 64.94 MHz ( period = 15.400 ns ) ; sequenceur2:inst17|inst3 ; CheminDeDonnees:inst|74168:inst1|29 ; H ; H ; None ; None ; 11.400 ns ; +; N/A ; 64.94 MHz ( period = 15.400 ns ) ; CheminDeDonnees:inst|74168:inst1|3 ; CheminDeDonnees:inst|74168:inst1|29 ; H ; H ; None ; None ; 11.400 ns ; +; N/A ; 64.94 MHz ( period = 15.400 ns ) ; CheminDeDonnees:inst|74168:inst8|49 ; CheminDeDonnees:inst|74168:inst1|29 ; H ; H ; None ; None ; 11.400 ns ; +; N/A ; 64.94 MHz ( period = 15.400 ns ) ; BoutonPoussoir2:inst15|inst ; CheminDeDonnees:inst|74168:inst8|29 ; H ; H ; None ; None ; 11.400 ns ; +; N/A ; 64.94 MHz ( period = 15.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|1 ; H ; H ; None ; None ; 11.300 ns ; +; N/A ; 64.94 MHz ( period = 15.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|4 ; H ; H ; None ; None ; 11.300 ns ; +; N/A ; 64.94 MHz ( period = 15.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|5 ; H ; H ; None ; None ; 11.400 ns ; +; N/A ; 64.94 MHz ( period = 15.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|6 ; H ; H ; None ; None ; 11.400 ns ; +; N/A ; 64.94 MHz ( period = 15.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|8 ; H ; H ; None ; None ; 11.400 ns ; +; N/A ; 64.94 MHz ( period = 15.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|1 ; H ; H ; None ; None ; 11.400 ns ; +; N/A ; 64.94 MHz ( period = 15.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|3 ; H ; H ; None ; None ; 11.400 ns ; +; N/A ; 64.94 MHz ( period = 15.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|5 ; H ; H ; None ; None ; 11.400 ns ; +; N/A ; 64.94 MHz ( period = 15.400 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|2 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8 ; H ; H ; None ; None ; 11.400 ns ; +; N/A ; 65.36 MHz ( period = 15.300 ns ) ; BoutonPoussoir2:inst15|inst5 ; CheminDeDonnees:inst|74168:inst2|49 ; H ; H ; None ; None ; 11.300 ns ; +; N/A ; 65.36 MHz ( period = 15.300 ns ) ; BoutonPoussoir2:inst15|inst5 ; CheminDeDonnees:inst|74168:inst2|29 ; H ; H ; None ; None ; 11.300 ns ; +; N/A ; 65.36 MHz ( period = 15.300 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|4 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|6 ; H ; H ; None ; None ; 11.400 ns ; +; N/A ; 65.36 MHz ( period = 15.300 ns ) ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|4 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|7 ; H ; H ; None ; None ; 11.400 ns ; +; N/A ; 65.79 MHz ( period = 15.200 ns ) ; CheminDeDonnees:inst|74168:inst8|3 ; CheminDeDonnees:inst|74168:inst2|15 ; H ; H ; None ; None ; 11.200 ns ; +; N/A ; 66.23 MHz ( period = 15.100 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|1 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|5 ; H ; H ; None ; None ; 11.100 ns ; +; N/A ; 66.23 MHz ( period = 15.100 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|1 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|6 ; H ; H ; None ; None ; 11.100 ns ; +; N/A ; 66.23 MHz ( period = 15.100 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|1 ; DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|8 ; H ; H ; None ; None ; 11.100 ns ; +; N/A ; 66.23 MHz ( period = 15.100 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|1 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|1 ; H ; H ; None ; None ; 11.100 ns ; +; N/A ; 66.23 MHz ( period = 15.100 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|1 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|3 ; H ; H ; None ; None ; 11.100 ns ; +; N/A ; 66.23 MHz ( period = 15.100 ns ) ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|1 ; DiviseurDeFrequence:inst1|8count:inst|f8count:sub|5 ; H ; H ; None ; None ; 11.100 ns ; +; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ; ; ; ; ; ; ; ; ++-----------------------------------------+-----------------------------------------------------+------------------------------------------------------+------------------------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+ + + ++-----------------------------------------------------------------------------------+ +; tsu ; ++-------+--------------+------------+------+-----------------------------+----------+ +; Slack ; Required tsu ; Actual tsu ; From ; To ; To Clock ; ++-------+--------------+------------+------+-----------------------------+----------+ +; N/A ; None ; 0.100 ns ; BP2 ; BoutonPoussoir2:inst16|inst ; H ; +; N/A ; None ; 0.000 ns ; BP1 ; BoutonPoussoir2:inst15|inst ; H ; ++-------+--------------+------------+------+-----------------------------+----------+ + + ++-----------------------------------------------------------------------------------------------+ +; tco ; ++-------+--------------+------------+-------------------------------------+--------+------------+ +; Slack ; Required tco ; Actual tco ; From ; To ; From Clock ; ++-------+--------------+------------+-------------------------------------+--------+------------+ +; N/A ; None ; 40.800 ns ; CheminDeDonnees:inst|74168:inst1|49 ; b1 ; H ; +; N/A ; None ; 40.800 ns ; CheminDeDonnees:inst|74168:inst1|49 ; a1 ; H ; +; N/A ; None ; 40.700 ns ; CheminDeDonnees:inst|74168:inst1|49 ; f1 ; H ; +; N/A ; None ; 40.700 ns ; CheminDeDonnees:inst|74168:inst1|49 ; c1 ; H ; +; N/A ; None ; 40.600 ns ; CheminDeDonnees:inst|74168:inst1|3 ; g1 ; H ; +; N/A ; None ; 40.500 ns ; CheminDeDonnees:inst|74168:inst2|29 ; G ; H ; +; N/A ; None ; 40.500 ns ; CheminDeDonnees:inst|74168:inst2|3 ; G ; H ; +; N/A ; None ; 40.500 ns ; CheminDeDonnees:inst|74168:inst2|29 ; E ; H ; +; N/A ; None ; 40.500 ns ; CheminDeDonnees:inst|74168:inst2|3 ; E ; H ; +; N/A ; None ; 40.500 ns ; CheminDeDonnees:inst|74168:inst2|29 ; D ; H ; +; N/A ; None ; 40.500 ns ; CheminDeDonnees:inst|74168:inst2|3 ; D ; H ; +; N/A ; None ; 40.500 ns ; CheminDeDonnees:inst|74168:inst2|49 ; C ; H ; +; N/A ; None ; 40.500 ns ; CheminDeDonnees:inst|74168:inst2|29 ; C ; H ; +; N/A ; None ; 40.500 ns ; CheminDeDonnees:inst|74168:inst2|49 ; B ; H ; +; N/A ; None ; 40.500 ns ; CheminDeDonnees:inst|74168:inst2|29 ; B ; H ; +; N/A ; None ; 40.500 ns ; CheminDeDonnees:inst|74168:inst2|49 ; A ; H ; +; N/A ; None ; 40.500 ns ; CheminDeDonnees:inst|74168:inst2|29 ; A ; H ; +; N/A ; None ; 40.300 ns ; CheminDeDonnees:inst|74168:inst1|3 ; d1 ; H ; +; N/A ; None ; 40.300 ns ; CheminDeDonnees:inst|74168:inst1|3 ; f1 ; H ; +; N/A ; None ; 40.300 ns ; CheminDeDonnees:inst|74168:inst1|3 ; e1 ; H ; +; N/A ; None ; 40.300 ns ; CheminDeDonnees:inst|74168:inst1|29 ; b1 ; H ; +; N/A ; None ; 40.300 ns ; CheminDeDonnees:inst|74168:inst1|29 ; a1 ; H ; +; N/A ; None ; 40.300 ns ; CheminDeDonnees:inst|74168:inst2|15 ; F ; H ; +; N/A ; None ; 40.200 ns ; CheminDeDonnees:inst|74168:inst1|29 ; d1 ; H ; +; N/A ; None ; 40.200 ns ; CheminDeDonnees:inst|74168:inst1|49 ; g1 ; H ; +; N/A ; None ; 40.200 ns ; CheminDeDonnees:inst|74168:inst1|29 ; g1 ; H ; +; N/A ; None ; 40.200 ns ; CheminDeDonnees:inst|74168:inst1|15 ; g1 ; H ; +; N/A ; None ; 40.200 ns ; CheminDeDonnees:inst|74168:inst1|29 ; e1 ; H ; +; N/A ; None ; 40.200 ns ; CheminDeDonnees:inst|74168:inst1|29 ; c1 ; H ; +; N/A ; None ; 40.200 ns ; CheminDeDonnees:inst|74168:inst2|49 ; G ; H ; +; N/A ; None ; 40.200 ns ; CheminDeDonnees:inst|74168:inst2|15 ; G ; H ; +; N/A ; None ; 40.200 ns ; CheminDeDonnees:inst|74168:inst2|3 ; C ; H ; +; N/A ; None ; 40.200 ns ; CheminDeDonnees:inst|74168:inst2|3 ; B ; H ; +; N/A ; None ; 40.200 ns ; CheminDeDonnees:inst|74168:inst2|3 ; A ; H ; +; N/A ; None ; 40.100 ns ; CheminDeDonnees:inst|74168:inst1|3 ; b1 ; H ; +; N/A ; None ; 40.100 ns ; CheminDeDonnees:inst|74168:inst1|3 ; a1 ; H ; +; N/A ; None ; 40.000 ns ; CheminDeDonnees:inst|74168:inst1|3 ; c1 ; H ; +; N/A ; None ; 39.900 ns ; CheminDeDonnees:inst|74168:inst1|29 ; f1 ; H ; +; N/A ; None ; 39.100 ns ; BoutonPoussoir2:inst15|inst ; BP1out ; H ; +; N/A ; None ; 38.800 ns ; BoutonPoussoir2:inst15|inst5 ; BP1out ; H ; +; N/A ; None ; 38.000 ns ; CheminDeDonnees:inst|74168:inst2|15 ; C ; H ; +; N/A ; None ; 38.000 ns ; CheminDeDonnees:inst|74168:inst2|15 ; B ; H ; +; N/A ; None ; 38.000 ns ; CheminDeDonnees:inst|74168:inst2|15 ; A ; H ; +; N/A ; None ; 37.900 ns ; CheminDeDonnees:inst|74168:inst1|15 ; b1 ; H ; +; N/A ; None ; 37.900 ns ; CheminDeDonnees:inst|74168:inst1|15 ; a1 ; H ; +; N/A ; None ; 37.900 ns ; CheminDeDonnees:inst|74168:inst2|49 ; F ; H ; +; N/A ; None ; 37.900 ns ; CheminDeDonnees:inst|74168:inst2|3 ; F ; H ; +; N/A ; None ; 37.800 ns ; CheminDeDonnees:inst|74168:inst1|15 ; f1 ; H ; +; N/A ; None ; 37.800 ns ; CheminDeDonnees:inst|74168:inst1|15 ; c1 ; H ; +; N/A ; None ; 37.700 ns ; CheminDeDonnees:inst|74168:inst2|15 ; E ; H ; +; N/A ; None ; 37.700 ns ; CheminDeDonnees:inst|74168:inst2|15 ; D ; H ; +; N/A ; None ; 37.600 ns ; CheminDeDonnees:inst|74168:inst2|29 ; F ; H ; +; N/A ; None ; 37.500 ns ; CheminDeDonnees:inst|74168:inst1|15 ; d1 ; H ; +; N/A ; None ; 37.500 ns ; CheminDeDonnees:inst|74168:inst1|15 ; e1 ; H ; +; N/A ; None ; 36.100 ns ; BoutonPoussoir2:inst15|inst ; Reset ; H ; +; N/A ; None ; 35.800 ns ; BoutonPoussoir2:inst15|inst5 ; Reset ; H ; +; N/A ; None ; 31.900 ns ; sequenceur2:inst17|inst3 ; Count ; H ; ++-------+--------------+------------+-------------------------------------+--------+------------+ + + ++-----------------------------------------------------------------------------------------+ +; th ; ++---------------+-------------+-----------+------+-----------------------------+----------+ +; Minimum Slack ; Required th ; Actual th ; From ; To ; To Clock ; ++---------------+-------------+-----------+------+-----------------------------+----------+ +; N/A ; None ; 5.700 ns ; BP1 ; BoutonPoussoir2:inst15|inst ; H ; +; N/A ; None ; 5.600 ns ; BP2 ; BoutonPoussoir2:inst16|inst ; H ; ++---------------+-------------+-----------+------+-----------------------------+----------+ + + ++--------------------------+ +; Timing Analyzer Messages ; ++--------------------------+ +Info: ******************************************************************* +Info: Running Quartus II Classic Timing Analyzer + Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + Info: Processing started: Wed Jan 24 17:22:09 2018 +Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off Chronometre -c Chronometre +Info: Started post-fitting delay annotation +Info: Delay annotation completed successfully +Warning: Found pins functioning as undefined clocks and/or memory enables + Info: Assuming node "H" is an undefined clock +Warning: Found 2 node(s) in clock paths which may be acting as ripple and/or gated clocks -- node(s) analyzed as buffer(s) resulting in clock skew + Info: Detected ripple clock "DiviseurDeFrequence:inst1|7456:inst7|5" as buffer + Info: Detected ripple clock "DiviseurDeFrequence:inst1|inst10" as buffer +Info: Clock "H" has Internal fmax of 42.02 MHz between source register "DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8" and destination register "DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|2" (period= 23.8 ns) + Info: + Longest register to register delay is 19.700 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC5_H27; Fanout = 2; REG Node = 'DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8' + Info: 2: + IC(0.000 ns) + CELL(1.500 ns) = 1.500 ns; Loc. = LC5_H27; Fanout = 2; COMB Node = 'DiviseurDeFrequence:inst1|8count:inst|f8count:sub|245' + Info: 3: + IC(0.000 ns) + CELL(0.300 ns) = 1.800 ns; Loc. = LC6_H27; Fanout = 2; COMB Node = 'DiviseurDeFrequence:inst1|8count:inst|f8count:sub|246' + Info: 4: + IC(0.000 ns) + CELL(0.300 ns) = 2.100 ns; Loc. = LC7_H27; Fanout = 2; COMB Node = 'DiviseurDeFrequence:inst1|8count:inst|f8count:sub|247' + Info: 5: + IC(0.000 ns) + CELL(0.300 ns) = 2.400 ns; Loc. = LC8_H27; Fanout = 2; COMB Node = 'DiviseurDeFrequence:inst1|8count:inst|f8count:sub|248' + Info: 6: + IC(1.100 ns) + CELL(0.300 ns) = 3.800 ns; Loc. = LC1_H29; Fanout = 2; COMB Node = 'DiviseurDeFrequence:inst1|8count:inst|f8count:sub|249' + Info: 7: + IC(0.000 ns) + CELL(0.300 ns) = 4.100 ns; Loc. = LC2_H29; Fanout = 2; COMB Node = 'DiviseurDeFrequence:inst1|8count:inst|f8count:sub|250' + Info: 8: + IC(0.000 ns) + CELL(0.300 ns) = 4.400 ns; Loc. = LC3_H29; Fanout = 2; COMB Node = 'DiviseurDeFrequence:inst1|8count:inst|f8count:sub|251' + Info: 9: + IC(0.000 ns) + CELL(0.300 ns) = 4.700 ns; Loc. = LC4_H29; Fanout = 2; COMB Node = 'DiviseurDeFrequence:inst1|8count:inst|f8count:sub|302' + Info: 10: + IC(0.000 ns) + CELL(0.300 ns) = 5.000 ns; Loc. = LC5_H29; Fanout = 2; COMB Node = 'DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|245' + Info: 11: + IC(0.000 ns) + CELL(0.300 ns) = 5.300 ns; Loc. = LC6_H29; Fanout = 2; COMB Node = 'DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|246' + Info: 12: + IC(0.000 ns) + CELL(0.300 ns) = 5.600 ns; Loc. = LC7_H29; Fanout = 2; COMB Node = 'DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|247' + Info: 13: + IC(0.000 ns) + CELL(0.300 ns) = 5.900 ns; Loc. = LC8_H29; Fanout = 2; COMB Node = 'DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|248' + Info: 14: + IC(1.100 ns) + CELL(0.300 ns) = 7.300 ns; Loc. = LC1_H31; Fanout = 2; COMB Node = 'DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|249' + Info: 15: + IC(0.000 ns) + CELL(0.300 ns) = 7.600 ns; Loc. = LC2_H31; Fanout = 2; COMB Node = 'DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|250' + Info: 16: + IC(0.000 ns) + CELL(0.300 ns) = 7.900 ns; Loc. = LC3_H31; Fanout = 2; COMB Node = 'DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|251' + Info: 17: + IC(0.000 ns) + CELL(0.300 ns) = 8.200 ns; Loc. = LC4_H31; Fanout = 1; COMB Node = 'DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|302' + Info: 18: + IC(0.000 ns) + CELL(1.200 ns) = 9.400 ns; Loc. = LC5_H31; Fanout = 11; COMB Node = 'DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|302~5' + Info: 19: + IC(2.900 ns) + CELL(2.400 ns) = 14.700 ns; Loc. = LC3_H27; Fanout = 7; COMB Node = 'DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|302~5_wirecell' + Info: 20: + IC(3.000 ns) + CELL(2.000 ns) = 19.700 ns; Loc. = LC3_H31; Fanout = 2; REG Node = 'DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|2' + Info: Total cell delay = 11.600 ns ( 58.88 % ) + Info: Total interconnect delay = 8.100 ns ( 41.12 % ) + Info: - Smallest clock skew is -0.100 ns + Info: + Shortest clock path from clock "H" to destination register is 11.800 ns + Info: 1: + IC(0.000 ns) + CELL(2.900 ns) = 2.900 ns; Loc. = PIN_91; Fanout = 3; CLK Node = 'H' + Info: 2: + IC(4.100 ns) + CELL(1.400 ns) = 8.400 ns; Loc. = LC1_H41; Fanout = 18; REG Node = 'DiviseurDeFrequence:inst1|7456:inst7|5' + Info: 3: + IC(3.400 ns) + CELL(0.000 ns) = 11.800 ns; Loc. = LC3_H31; Fanout = 2; REG Node = 'DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|2' + Info: Total cell delay = 4.300 ns ( 36.44 % ) + Info: Total interconnect delay = 7.500 ns ( 63.56 % ) + Info: - Longest clock path from clock "H" to source register is 11.900 ns + Info: 1: + IC(0.000 ns) + CELL(2.900 ns) = 2.900 ns; Loc. = PIN_91; Fanout = 3; CLK Node = 'H' + Info: 2: + IC(4.100 ns) + CELL(1.400 ns) = 8.400 ns; Loc. = LC1_H41; Fanout = 18; REG Node = 'DiviseurDeFrequence:inst1|7456:inst7|5' + Info: 3: + IC(3.500 ns) + CELL(0.000 ns) = 11.900 ns; Loc. = LC5_H27; Fanout = 2; REG Node = 'DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8' + Info: Total cell delay = 4.300 ns ( 36.13 % ) + Info: Total interconnect delay = 7.600 ns ( 63.87 % ) + Info: + Micro clock to output delay of source is 1.400 ns + Info: + Micro setup delay of destination is 2.600 ns +Info: tsu for register "BoutonPoussoir2:inst16|inst" (data pin = "BP2", clock pin = "H") is 0.100 ns + Info: + Longest pin to register delay is 20.200 ns + Info: 1: + IC(0.000 ns) + CELL(10.300 ns) = 10.300 ns; Loc. = PIN_29; Fanout = 1; PIN Node = 'BP2' + Info: 2: + IC(8.200 ns) + CELL(1.700 ns) = 20.200 ns; Loc. = LC1_H32; Fanout = 2; REG Node = 'BoutonPoussoir2:inst16|inst' + Info: Total cell delay = 12.000 ns ( 59.41 % ) + Info: Total interconnect delay = 8.200 ns ( 40.59 % ) + Info: + Micro setup delay of destination is 2.600 ns + Info: - Shortest clock path from clock "H" to destination register is 22.700 ns + Info: 1: + IC(0.000 ns) + CELL(2.900 ns) = 2.900 ns; Loc. = PIN_91; Fanout = 3; CLK Node = 'H' + Info: 2: + IC(4.100 ns) + CELL(1.400 ns) = 8.400 ns; Loc. = LC1_H41; Fanout = 18; REG Node = 'DiviseurDeFrequence:inst1|7456:inst7|5' + Info: 3: + IC(3.500 ns) + CELL(1.400 ns) = 13.300 ns; Loc. = LC1_H27; Fanout = 18; REG Node = 'DiviseurDeFrequence:inst1|inst10' + Info: 4: + IC(9.400 ns) + CELL(0.000 ns) = 22.700 ns; Loc. = LC1_H32; Fanout = 2; REG Node = 'BoutonPoussoir2:inst16|inst' + Info: Total cell delay = 5.700 ns ( 25.11 % ) + Info: Total interconnect delay = 17.000 ns ( 74.89 % ) +Info: tco from clock "H" to destination pin "b1" through register "CheminDeDonnees:inst|74168:inst1|49" is 40.800 ns + Info: + Longest clock path from clock "H" to source register is 22.700 ns + Info: 1: + IC(0.000 ns) + CELL(2.900 ns) = 2.900 ns; Loc. = PIN_91; Fanout = 3; CLK Node = 'H' + Info: 2: + IC(4.100 ns) + CELL(1.400 ns) = 8.400 ns; Loc. = LC1_H41; Fanout = 18; REG Node = 'DiviseurDeFrequence:inst1|7456:inst7|5' + Info: 3: + IC(3.500 ns) + CELL(1.400 ns) = 13.300 ns; Loc. = LC1_H27; Fanout = 18; REG Node = 'DiviseurDeFrequence:inst1|inst10' + Info: 4: + IC(9.400 ns) + CELL(0.000 ns) = 22.700 ns; Loc. = LC1_H33; Fanout = 11; REG Node = 'CheminDeDonnees:inst|74168:inst1|49' + Info: Total cell delay = 5.700 ns ( 25.11 % ) + Info: Total interconnect delay = 17.000 ns ( 74.89 % ) + Info: + Micro clock to output delay of source is 1.400 ns + Info: + Longest register to pin delay is 16.700 ns + Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LC1_H33; Fanout = 11; REG Node = 'CheminDeDonnees:inst|74168:inst1|49' + Info: 2: + IC(3.400 ns) + CELL(2.700 ns) = 6.100 ns; Loc. = LC8_H35; Fanout = 1; COMB Node = 'CheminDeDonnees:inst|7446:inst4|97~0' + Info: 3: + IC(5.600 ns) + CELL(5.000 ns) = 16.700 ns; Loc. = PIN_18; Fanout = 0; PIN Node = 'b1' + Info: Total cell delay = 7.700 ns ( 46.11 % ) + Info: Total interconnect delay = 9.000 ns ( 53.89 % ) +Info: th for register "BoutonPoussoir2:inst15|inst" (data pin = "BP1", clock pin = "H") is 5.700 ns + Info: + Longest clock path from clock "H" to destination register is 22.700 ns + Info: 1: + IC(0.000 ns) + CELL(2.900 ns) = 2.900 ns; Loc. = PIN_91; Fanout = 3; CLK Node = 'H' + Info: 2: + IC(4.100 ns) + CELL(1.400 ns) = 8.400 ns; Loc. = LC1_H41; Fanout = 18; REG Node = 'DiviseurDeFrequence:inst1|7456:inst7|5' + Info: 3: + IC(3.500 ns) + CELL(1.400 ns) = 13.300 ns; Loc. = LC1_H27; Fanout = 18; REG Node = 'DiviseurDeFrequence:inst1|inst10' + Info: 4: + IC(9.400 ns) + CELL(0.000 ns) = 22.700 ns; Loc. = LC1_H39; Fanout = 9; REG Node = 'BoutonPoussoir2:inst15|inst' + Info: Total cell delay = 5.700 ns ( 25.11 % ) + Info: Total interconnect delay = 17.000 ns ( 74.89 % ) + Info: + Micro hold delay of destination is 3.100 ns + Info: - Shortest pin to register delay is 20.100 ns + Info: 1: + IC(0.000 ns) + CELL(10.300 ns) = 10.300 ns; Loc. = PIN_28; Fanout = 1; PIN Node = 'BP1' + Info: 2: + IC(8.100 ns) + CELL(1.700 ns) = 20.100 ns; Loc. = LC1_H39; Fanout = 9; REG Node = 'BoutonPoussoir2:inst15|inst' + Info: Total cell delay = 12.000 ns ( 59.70 % ) + Info: Total interconnect delay = 8.100 ns ( 40.30 % ) +Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 2 warnings + Info: Peak virtual memory: 156 megabytes + Info: Processing ended: Wed Jan 24 17:22:10 2018 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/SLEA/Chronometre.tan.summary b/SLEA/Chronometre.tan.summary new file mode 100644 index 0000000..ba50329 --- /dev/null +++ b/SLEA/Chronometre.tan.summary @@ -0,0 +1,56 @@ +-------------------------------------------------------------------------------------- +Timing Analyzer Summary +-------------------------------------------------------------------------------------- + +Type : Worst-case tsu +Slack : N/A +Required Time : None +Actual Time : 0.100 ns +From : BP2 +To : BoutonPoussoir2:inst16|inst +From Clock : -- +To Clock : H +Failed Paths : 0 + +Type : Worst-case tco +Slack : N/A +Required Time : None +Actual Time : 40.800 ns +From : CheminDeDonnees:inst|74168:inst1|49 +To : a1 +From Clock : H +To Clock : -- +Failed Paths : 0 + +Type : Worst-case th +Slack : N/A +Required Time : None +Actual Time : 5.700 ns +From : BP1 +To : BoutonPoussoir2:inst15|inst +From Clock : -- +To Clock : H +Failed Paths : 0 + +Type : Clock Setup: 'H' +Slack : N/A +Required Time : None +Actual Time : 42.02 MHz ( period = 23.800 ns ) +From : DiviseurDeFrequence:inst1|8count:inst|f8count:sub|8 +To : DiviseurDeFrequence:inst1|8count:inst4|f8count:sub|3 +From Clock : H +To Clock : H +Failed Paths : 0 + +Type : Total number of failed paths +Slack : +Required Time : +Actual Time : +From : +To : +From Clock : +To Clock : +Failed Paths : 0 + +-------------------------------------------------------------------------------------- + diff --git a/SLEA/Decodeur.bdf b/SLEA/Decodeur.bdf new file mode 100644 index 0000000..7721cb6 --- /dev/null +++ b/SLEA/Decodeur.bdf @@ -0,0 +1,374 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +//#pragma file_not_in_maxplusii_format +(header "graphic" (version "1.3")) +(pin + (input) + (rect 624 640 792 656) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "A" (rect 5 0 12 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 312 488 360 504)) +) +(pin + (input) + (rect 624 656 792 672) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "B" (rect 5 0 12 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 312 504 360 520)) +) +(pin + (input) + (rect 624 672 792 688) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "C" (rect 5 0 13 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 312 520 360 536)) +) +(pin + (input) + (rect 624 688 792 704) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "D" (rect 5 0 13 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 312 536 360 552)) +) +(pin + (output) + (rect 1040 640 1216 656) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "QA" (rect 90 0 105 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 952 488 992 504)) +) +(pin + (output) + (rect 1040 656 1216 672) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "QB" (rect 90 0 105 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 952 504 992 520)) +) +(pin + (output) + (rect 1040 672 1216 688) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "QC" (rect 90 0 106 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 952 520 992 536)) +) +(pin + (output) + (rect 1040 688 1216 704) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "QD" (rect 90 0 106 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 952 536 992 552)) +) +(pin + (output) + (rect 1040 704 1216 720) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "QE" (rect 90 0 105 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 952 552 1000 568)) +) +(pin + (output) + (rect 1040 720 1216 736) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "QF" (rect 90 0 105 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 952 568 1000 584)) +) +(pin + (output) + (rect 1040 736 1216 752) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "QG" (rect 90 0 106 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 952 584 1000 600)) +) +(symbol + (rect 888 624 1008 784) + (text "7446" (rect 45 0 78 16)(font "Arial" (font_size 10))) + (text "inst" (rect 3 149 17 159)(font "Arial" (font_size 6))) + (port + (pt 0 72) + (input) + (text "D" (rect 22 65 30 79)(font "Arial" (font_size 8))) + (text "D" (rect 22 65 30 79)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 16 72)(line_width 1)) + ) + (port + (pt 0 56) + (input) + (text "C" (rect 22 49 30 63)(font "Arial" (font_size 8))) + (text "C" (rect 22 49 30 63)(font "Arial" (font_size 8))) + (line (pt 0 56)(pt 16 56)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "B" (rect 22 33 30 47)(font "Arial" (font_size 8))) + (text "B" (rect 22 33 30 47)(font "Arial" (font_size 8))) + (line (pt 0 40)(pt 16 40)(line_width 1)) + ) + (port + (pt 0 88) + (input) + (text "LTN" (rect 22 81 44 95)(font "Arial" (font_size 8))) + (text "LTN" (rect 22 81 44 95)(font "Arial" (font_size 8))) + (line (pt 0 88)(pt 8 88)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "A" (rect 22 17 31 31)(font "Arial" (font_size 8))) + (text "A" (rect 22 17 31 31)(font "Arial" (font_size 8))) + (line (pt 0 24)(pt 16 24)(line_width 1)) + ) + (port + (pt 0 104) + (input) + (text "RBIN" (rect 22 97 49 111)(font "Arial" (font_size 8))) + (text "RBIN" (rect 22 97 49 111)(font "Arial" (font_size 8))) + (line (pt 0 104)(pt 8 104)(line_width 1)) + ) + (port + (pt 0 120) + (input) + (text "BIN" (rect 22 113 40 127)(font "Arial" (font_size 8))) + (text "BIN" (rect 22 113 40 127)(font "Arial" (font_size 8))) + (line (pt 0 120)(pt 8 120)(line_width 1)) + ) + (port + (pt 120 56) + (output) + (text "OC" (rect 83 47 100 61)(font "Arial" (font_size 8))) + (text "OC" (rect 83 47 100 61)(font "Arial" (font_size 8))) + (line (pt 104 56)(pt 120 56)(line_width 1)) + ) + (port + (pt 120 72) + (output) + (text "OD" (rect 83 63 100 77)(font "Arial" (font_size 8))) + (text "OD" (rect 83 63 100 77)(font "Arial" (font_size 8))) + (line (pt 104 72)(pt 120 72)(line_width 1)) + ) + (port + (pt 120 88) + (output) + (text "OE" (rect 83 79 99 93)(font "Arial" (font_size 8))) + (text "OE" (rect 83 79 99 93)(font "Arial" (font_size 8))) + (line (pt 104 88)(pt 120 88)(line_width 1)) + ) + (port + (pt 120 136) + (output) + (text "RBON" (rect 67 127 101 141)(font "Arial" (font_size 8))) + (text "RBON" (rect 67 127 101 141)(font "Arial" (font_size 8))) + (line (pt 112 136)(pt 120 136)(line_width 1)) + ) + (port + (pt 120 24) + (output) + (text "OA" (rect 83 17 101 31)(font "Arial" (font_size 8))) + (text "OA" (rect 83 17 101 31)(font "Arial" (font_size 8))) + (line (pt 104 24)(pt 120 24)(line_width 1)) + ) + (port + (pt 120 40) + (output) + (text "OB" (rect 83 31 100 45)(font "Arial" (font_size 8))) + (text "OB" (rect 83 31 100 45)(font "Arial" (font_size 8))) + (line (pt 104 40)(pt 120 40)(line_width 1)) + ) + (port + (pt 120 104) + (output) + (text "OF" (rect 84 96 100 110)(font "Arial" (font_size 8))) + (text "OF" (rect 84 96 100 110)(font "Arial" (font_size 8))) + (line (pt 104 104)(pt 120 104)(line_width 1)) + ) + (port + (pt 120 120) + (output) + (text "OG" (rect 83 111 101 125)(font "Arial" (font_size 8))) + (text "OG" (rect 83 111 101 125)(font "Arial" (font_size 8))) + (line (pt 104 120)(pt 120 120)(line_width 1)) + ) + (drawing + (text "BCD TO 7SEG" (rect 28 145 108 159)(font "Arial" (font_size 8))) + (line (pt 16 144)(pt 104 144)(line_width 1)) + (line (pt 16 16)(pt 104 16)(line_width 1)) + (line (pt 104 144)(pt 104 16)(line_width 1)) + (line (pt 16 144)(pt 16 16)(line_width 1)) + (circle (rect 8 116 16 124)(line_width 1)) + (circle (rect 104 132 112 140)(line_width 1)) + (circle (rect 8 100 16 108)(line_width 1)) + (circle (rect 8 84 16 92)(line_width 1)) + ) +) +(connector + (pt 888 648) + (pt 792 648) +) +(connector + (pt 888 664) + (pt 792 664) +) +(connector + (pt 888 680) + (pt 792 680) +) +(connector + (pt 888 696) + (pt 792 696) +) +(connector + (pt 1008 648) + (pt 1040 648) +) +(connector + (pt 1008 664) + (pt 1040 664) +) +(connector + (pt 1008 680) + (pt 1040 680) +) +(connector + (pt 1008 696) + (pt 1040 696) +) +(connector + (pt 1008 712) + (pt 1040 712) +) +(connector + (pt 1008 728) + (pt 1040 728) +) +(connector + (pt 1008 744) + (pt 1040 744) +) diff --git a/SLEA/DiviseurDeFrequence.bdf b/SLEA/DiviseurDeFrequence.bdf new file mode 100644 index 0000000..7ffd876 --- /dev/null +++ b/SLEA/DiviseurDeFrequence.bdf @@ -0,0 +1,818 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +//#pragma file_not_in_maxplusii_format +(header "graphic" (version "1.3")) +(pin + (input) + (rect 88 232 256 248) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "H" (rect 5 0 13 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 40 248 88 264)) +) +(pin + (output) + (rect 88 264 264 280) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "100Hz" (rect 90 0 120 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) + (annotation_block (location)(rect 264 280 312 296)) +) +(symbol + (rect 440 136 560 392) + (text "8count" (rect 38 1 84 17)(font "Arial" (font_size 10))) + (text "inst" (rect 3 245 17 255)(font "Arial" (font_size 6))) + (port + (pt 0 56) + (input) + (text "B" (rect 22 51 30 65)(font "Arial" (font_size 8))) + (text "B" (rect 22 51 30 65)(font "Arial" (font_size 8))) + (line (pt 0 56)(pt 16 56)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "A" (rect 22 35 31 49)(font "Arial" (font_size 8))) + (text "A" (rect 22 35 31 49)(font "Arial" (font_size 8))) + (line (pt 0 40)(pt 16 40)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "LDN" (rect 22 19 45 33)(font "Arial" (font_size 8))) + (text "LDN" (rect 22 19 45 33)(font "Arial" (font_size 8))) + (line (pt 0 24)(pt 8 24)(line_width 1)) + ) + (port + (pt 0 88) + (input) + (text "D" (rect 22 83 30 97)(font "Arial" (font_size 8))) + (text "D" (rect 22 83 30 97)(font "Arial" (font_size 8))) + (line (pt 0 88)(pt 16 88)(line_width 1)) + ) + (port + (pt 0 72) + (input) + (text "C" (rect 22 67 30 81)(font "Arial" (font_size 8))) + (text "C" (rect 22 67 30 81)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 16 72)(line_width 1)) + ) + (port + (pt 0 104) + (input) + (text "E" (rect 22 99 29 113)(font "Arial" (font_size 8))) + (text "E" (rect 22 99 29 113)(font "Arial" (font_size 8))) + (line (pt 0 104)(pt 16 104)(line_width 1)) + ) + (port + (pt 0 120) + (input) + (text "F" (rect 22 115 29 129)(font "Arial" (font_size 8))) + (text "F" (rect 22 115 29 129)(font "Arial" (font_size 8))) + (line (pt 0 120)(pt 16 120)(line_width 1)) + ) + (port + (pt 0 168) + (input) + (text "GN" (rect 22 163 39 177)(font "Arial" (font_size 8))) + (text "GN" (rect 22 163 39 177)(font "Arial" (font_size 8))) + (line (pt 0 168)(pt 8 168)(line_width 1)) + ) + (port + (pt 0 152) + (input) + (text "H" (rect 22 147 30 161)(font "Arial" (font_size 8))) + (text "H" (rect 22 147 30 161)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 16 152)(line_width 1)) + ) + (port + (pt 0 136) + (input) + (text "G" (rect 22 131 31 145)(font "Arial" (font_size 8))) + (text "G" (rect 22 131 31 145)(font "Arial" (font_size 8))) + (line (pt 0 136)(pt 16 136)(line_width 1)) + ) + (port + (pt 0 216) + (input) + (text "CLRN" (rect 22 211 53 225)(font "Arial" (font_size 8))) + (text "CLRN" (rect 22 211 53 225)(font "Arial" (font_size 8))) + (line (pt 0 216)(pt 8 216)(line_width 1)) + ) + (port + (pt 0 200) + (input) + (text "SETN" (rect 22 195 52 209)(font "Arial" (font_size 8))) + (text "SETN" (rect 22 195 52 209)(font "Arial" (font_size 8))) + (line (pt 0 200)(pt 8 200)(line_width 1)) + ) + (port + (pt 0 184) + (input) + (text "DNUP" (rect 22 179 53 193)(font "Arial" (font_size 8))) + (text "DNUP" (rect 22 179 53 193)(font "Arial" (font_size 8))) + (line (pt 0 184)(pt 16 184)(line_width 1)) + ) + (port + (pt 0 232) + (input) + (text "CLK" (rect 22 227 45 241)(font "Arial" (font_size 8))) + (text "CLK" (rect 22 227 45 241)(font "Arial" (font_size 8))) + (line (pt 0 232)(pt 16 232)(line_width 1)) + ) + (port + (pt 120 56) + (output) + (text "QB" (rect 85 50 102 64)(font "Arial" (font_size 8))) + (text "QB" (rect 85 50 102 64)(font "Arial" (font_size 8))) + (line (pt 104 56)(pt 120 56)(line_width 1)) + ) + (port + (pt 120 40) + (output) + (text "QA" (rect 85 34 103 48)(font "Arial" (font_size 8))) + (text "QA" (rect 85 34 103 48)(font "Arial" (font_size 8))) + (line (pt 104 40)(pt 120 40)(line_width 1)) + ) + (port + (pt 120 104) + (output) + (text "QE" (rect 85 98 101 112)(font "Arial" (font_size 8))) + (text "QE" (rect 85 98 101 112)(font "Arial" (font_size 8))) + (line (pt 104 104)(pt 120 104)(line_width 1)) + ) + (port + (pt 120 88) + (output) + (text "QD" (rect 85 82 102 96)(font "Arial" (font_size 8))) + (text "QD" (rect 85 82 102 96)(font "Arial" (font_size 8))) + (line (pt 104 88)(pt 120 88)(line_width 1)) + ) + (port + (pt 120 72) + (output) + (text "QC" (rect 85 66 102 80)(font "Arial" (font_size 8))) + (text "QC" (rect 85 66 102 80)(font "Arial" (font_size 8))) + (line (pt 104 72)(pt 120 72)(line_width 1)) + ) + (port + (pt 120 152) + (output) + (text "QH" (rect 85 146 102 160)(font "Arial" (font_size 8))) + (text "QH" (rect 85 146 102 160)(font "Arial" (font_size 8))) + (line (pt 104 152)(pt 120 152)(line_width 1)) + ) + (port + (pt 120 136) + (output) + (text "QG" (rect 85 130 103 144)(font "Arial" (font_size 8))) + (text "QG" (rect 85 130 103 144)(font "Arial" (font_size 8))) + (line (pt 104 136)(pt 120 136)(line_width 1)) + ) + (port + (pt 120 120) + (output) + (text "QF" (rect 85 114 101 128)(font "Arial" (font_size 8))) + (text "QF" (rect 85 114 101 128)(font "Arial" (font_size 8))) + (line (pt 104 120)(pt 120 120)(line_width 1)) + ) + (port + (pt 120 168) + (output) + (text "COUT" (rect 71 162 104 176)(font "Arial" (font_size 8))) + (text "COUT" (rect 71 162 104 176)(font "Arial" (font_size 8))) + (line (pt 104 168)(pt 120 168)(line_width 1)) + ) + (drawing + (text "UP/DN COUNTER" (rect 19 244 114 258)(font "Arial" (font_size 8))) + (line (pt 16 240)(pt 16 16)(line_width 1)) + (line (pt 104 240)(pt 104 16)(line_width 1)) + (line (pt 16 16)(pt 104 16)(line_width 1)) + (line (pt 16 240)(pt 104 240)(line_width 1)) + (circle (rect 8 20 16 28)(line_width 1)) + (circle (rect 8 196 16 204)(line_width 1)) + (circle (rect 8 164 16 172)(line_width 1)) + (circle (rect 8 212 16 220)(line_width 1)) + ) +) +(symbol + (rect 728 136 848 392) + (text "8count" (rect 38 1 84 17)(font "Arial" (font_size 10))) + (text "inst4" (rect 3 245 26 257)(font "Arial" )) + (port + (pt 0 56) + (input) + (text "B" (rect 22 51 30 65)(font "Arial" (font_size 8))) + (text "B" (rect 22 51 30 65)(font "Arial" (font_size 8))) + (line (pt 0 56)(pt 16 56)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "A" (rect 22 35 31 49)(font "Arial" (font_size 8))) + (text "A" (rect 22 35 31 49)(font "Arial" (font_size 8))) + (line (pt 0 40)(pt 16 40)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "LDN" (rect 22 19 45 33)(font "Arial" (font_size 8))) + (text "LDN" (rect 22 19 45 33)(font "Arial" (font_size 8))) + (line (pt 0 24)(pt 8 24)(line_width 1)) + ) + (port + (pt 0 88) + (input) + (text "D" (rect 22 83 30 97)(font "Arial" (font_size 8))) + (text "D" (rect 22 83 30 97)(font "Arial" (font_size 8))) + (line (pt 0 88)(pt 16 88)(line_width 1)) + ) + (port + (pt 0 72) + (input) + (text "C" (rect 22 67 30 81)(font "Arial" (font_size 8))) + (text "C" (rect 22 67 30 81)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 16 72)(line_width 1)) + ) + (port + (pt 0 104) + (input) + (text "E" (rect 22 99 29 113)(font "Arial" (font_size 8))) + (text "E" (rect 22 99 29 113)(font "Arial" (font_size 8))) + (line (pt 0 104)(pt 16 104)(line_width 1)) + ) + (port + (pt 0 120) + (input) + (text "F" (rect 22 115 29 129)(font "Arial" (font_size 8))) + (text "F" (rect 22 115 29 129)(font "Arial" (font_size 8))) + (line (pt 0 120)(pt 16 120)(line_width 1)) + ) + (port + (pt 0 168) + (input) + (text "GN" (rect 22 163 39 177)(font "Arial" (font_size 8))) + (text "GN" (rect 22 163 39 177)(font "Arial" (font_size 8))) + (line (pt 0 168)(pt 8 168)(line_width 1)) + ) + (port + (pt 0 152) + (input) + (text "H" (rect 22 147 30 161)(font "Arial" (font_size 8))) + (text "H" (rect 22 147 30 161)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 16 152)(line_width 1)) + ) + (port + (pt 0 136) + (input) + (text "G" (rect 22 131 31 145)(font "Arial" (font_size 8))) + (text "G" (rect 22 131 31 145)(font "Arial" (font_size 8))) + (line (pt 0 136)(pt 16 136)(line_width 1)) + ) + (port + (pt 0 216) + (input) + (text "CLRN" (rect 22 211 53 225)(font "Arial" (font_size 8))) + (text "CLRN" (rect 22 211 53 225)(font "Arial" (font_size 8))) + (line (pt 0 216)(pt 8 216)(line_width 1)) + ) + (port + (pt 0 200) + (input) + (text "SETN" (rect 22 195 52 209)(font "Arial" (font_size 8))) + (text "SETN" (rect 22 195 52 209)(font "Arial" (font_size 8))) + (line (pt 0 200)(pt 8 200)(line_width 1)) + ) + (port + (pt 0 184) + (input) + (text "DNUP" (rect 22 179 53 193)(font "Arial" (font_size 8))) + (text "DNUP" (rect 22 179 53 193)(font "Arial" (font_size 8))) + (line (pt 0 184)(pt 16 184)(line_width 1)) + ) + (port + (pt 0 232) + (input) + (text "CLK" (rect 22 227 45 241)(font "Arial" (font_size 8))) + (text "CLK" (rect 22 227 45 241)(font "Arial" (font_size 8))) + (line (pt 0 232)(pt 16 232)(line_width 1)) + ) + (port + (pt 120 56) + (output) + (text "QB" (rect 85 50 102 64)(font "Arial" (font_size 8))) + (text "QB" (rect 85 50 102 64)(font "Arial" (font_size 8))) + (line (pt 104 56)(pt 120 56)(line_width 1)) + ) + (port + (pt 120 40) + (output) + (text "QA" (rect 85 34 103 48)(font "Arial" (font_size 8))) + (text "QA" (rect 85 34 103 48)(font "Arial" (font_size 8))) + (line (pt 104 40)(pt 120 40)(line_width 1)) + ) + (port + (pt 120 104) + (output) + (text "QE" (rect 85 98 101 112)(font "Arial" (font_size 8))) + (text "QE" (rect 85 98 101 112)(font "Arial" (font_size 8))) + (line (pt 104 104)(pt 120 104)(line_width 1)) + ) + (port + (pt 120 88) + (output) + (text "QD" (rect 85 82 102 96)(font "Arial" (font_size 8))) + (text "QD" (rect 85 82 102 96)(font "Arial" (font_size 8))) + (line (pt 104 88)(pt 120 88)(line_width 1)) + ) + (port + (pt 120 72) + (output) + (text "QC" (rect 85 66 102 80)(font "Arial" (font_size 8))) + (text "QC" (rect 85 66 102 80)(font "Arial" (font_size 8))) + (line (pt 104 72)(pt 120 72)(line_width 1)) + ) + (port + (pt 120 152) + (output) + (text "QH" (rect 85 146 102 160)(font "Arial" (font_size 8))) + (text "QH" (rect 85 146 102 160)(font "Arial" (font_size 8))) + (line (pt 104 152)(pt 120 152)(line_width 1)) + ) + (port + (pt 120 136) + (output) + (text "QG" (rect 85 130 103 144)(font "Arial" (font_size 8))) + (text "QG" (rect 85 130 103 144)(font "Arial" (font_size 8))) + (line (pt 104 136)(pt 120 136)(line_width 1)) + ) + (port + (pt 120 120) + (output) + (text "QF" (rect 85 114 101 128)(font "Arial" (font_size 8))) + (text "QF" (rect 85 114 101 128)(font "Arial" (font_size 8))) + (line (pt 104 120)(pt 120 120)(line_width 1)) + ) + (port + (pt 120 168) + (output) + (text "COUT" (rect 71 162 104 176)(font "Arial" (font_size 8))) + (text "COUT" (rect 71 162 104 176)(font "Arial" (font_size 8))) + (line (pt 104 168)(pt 120 168)(line_width 1)) + ) + (drawing + (text "UP/DN COUNTER" (rect 19 244 114 258)(font "Arial" (font_size 8))) + (line (pt 16 240)(pt 16 16)(line_width 1)) + (line (pt 104 240)(pt 104 16)(line_width 1)) + (line (pt 16 16)(pt 104 16)(line_width 1)) + (line (pt 16 240)(pt 104 240)(line_width 1)) + (circle (rect 8 20 16 28)(line_width 1)) + (circle (rect 8 196 16 204)(line_width 1)) + (circle (rect 8 164 16 172)(line_width 1)) + (circle (rect 8 212 16 220)(line_width 1)) + ) +) +(symbol + (rect 72 88 104 104) + (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 5 26 17)(font "Arial" )(invisible)) + (port + (pt 16 16) + (output) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (line (pt 16 16)(pt 16 8)(line_width 1)) + ) + (drawing + (line (pt 8 8)(pt 24 8)(line_width 1)) + ) +) +(symbol + (rect 192 128 224 160) + (text "GND" (rect 8 16 29 26)(font "Arial" (font_size 6))) + (text "inst6" (rect 3 21 26 33)(font "Arial" )(invisible)) + (port + (pt 16 0) + (output) + (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) + (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) + (line (pt 16 8)(pt 16 0)(line_width 1)) + ) + (drawing + (line (pt 8 8)(pt 16 16)(line_width 1)) + (line (pt 16 16)(pt 24 8)(line_width 1)) + (line (pt 8 8)(pt 24 8)(line_width 1)) + ) +) +(symbol + (rect 184 424 288 504) + (text "7456" (rect 39 0 72 16)(font "Arial" (font_size 10))) + (text "inst7" (rect 3 69 26 81)(font "Arial" )) + (port + (pt 0 56) + (input) + (text "CLKB" (rect 19 49 50 63)(font "Arial" (font_size 8))) + (text "CLKB" (rect 19 49 50 63)(font "Arial" (font_size 8))) + (line (pt 0 56)(pt 16 56)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "CLR" (rect 19 17 42 31)(font "Arial" (font_size 8))) + (text "CLR" (rect 19 17 42 31)(font "Arial" (font_size 8))) + (line (pt 0 24)(pt 16 24)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "CLKA" (rect 19 33 52 47)(font "Arial" (font_size 8))) + (text "CLKA" (rect 19 33 52 47)(font "Arial" (font_size 8))) + (line (pt 0 40)(pt 16 40)(line_width 1)) + ) + (port + (pt 104 56) + (output) + (text "QC" (rect 69 49 86 63)(font "Arial" (font_size 8))) + (text "QC" (rect 69 49 86 63)(font "Arial" (font_size 8))) + (line (pt 88 56)(pt 104 56)(line_width 1)) + ) + (port + (pt 104 24) + (output) + (text "QA" (rect 69 17 87 31)(font "Arial" (font_size 8))) + (text "QA" (rect 69 17 87 31)(font "Arial" (font_size 8))) + (line (pt 88 24)(pt 104 24)(line_width 1)) + ) + (port + (pt 104 40) + (output) + (text "QB" (rect 69 33 86 47)(font "Arial" (font_size 8))) + (text "QB" (rect 69 33 86 47)(font "Arial" (font_size 8))) + (line (pt 88 40)(pt 104 40)(line_width 1)) + ) + (drawing + (text "FREQ DIVIDER" (rect 20 65 101 79)(font "Arial" (font_size 8))) + (line (pt 16 16)(pt 88 16)(line_width 1)) + (line (pt 16 64)(pt 88 64)(line_width 1)) + (line (pt 16 64)(pt 16 16)(line_width 1)) + (line (pt 88 64)(pt 88 16)(line_width 1)) + ) +) +(symbol + (rect 576 288 624 320) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst8" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)(line_width 1)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)(line_width 1)) + ) + (drawing + (line (pt 13 25)(pt 13 7)(line_width 1)) + (line (pt 13 7)(pt 31 16)(line_width 1)) + (line (pt 13 25)(pt 31 16)(line_width 1)) + (circle (rect 31 12 39 20)(line_width 1)) + ) +) +(symbol + (rect 1216 312 1280 392) + (text "TFF" (rect 1 0 18 10)(font "Arial" (font_size 6))) + (text "inst10" (rect 3 68 32 80)(font "Arial" )) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)(line_width 1)) + ) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "T" (rect 16 20 21 32)(font "Courier New" (bold))) + (text "T" (rect 16 20 21 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 2 28 19 40)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 2 28 19 40)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 12 12)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 52 68)(line_width 1)) + (line (pt 12 68)(pt 12 12)(line_width 1)) + (line (pt 52 68)(pt 52 12)(line_width 1)) + (line (pt 12 34)(pt 19 41)(line_width 1)) + (line (pt 18 41)(pt 12 47)(line_width 1)) + (circle (rect 28 4 36 12)(line_width 1)) + (circle (rect 28 68 36 76)(line_width 1)) + ) +) +(symbol + (rect 928 216 960 264) + (text "NOT" (rect 0 27 10 47)(font "Arial" (font_size 6))(vertical)) + (text "inst9" (rect 21 22 33 45)(font "Arial" )(vertical)) + (port + (pt 16 48) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 7 35 19 46)(font "Courier New" (bold))(vertical)(invisible)) + (line (pt 16 48)(pt 16 35)(line_width 1)) + ) + (port + (pt 16 0) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 7 -1 19 16)(font "Courier New" (bold))(vertical)(invisible)) + (line (pt 16 9)(pt 16 0)(line_width 1)) + ) + (drawing + (line (pt 25 35)(pt 7 35)(line_width 1)) + (line (pt 7 35)(pt 16 17)(line_width 1)) + (line (pt 25 35)(pt 16 17)(line_width 1)) + (circle (rect 12 9 20 17)(line_width 1)) + ) + (rotate90) +) +(connector + (pt 88 112) + (pt 136 112) +) +(connector + (text "VCC" (rect 136 84 148 107)(font "Arial" )(vertical)) + (pt 88 104) + (pt 88 112) +) +(connector + (pt 208 120) + (pt 256 120) +) +(connector + (text "GND" (rect 224 91 236 115)(font "Arial" )(vertical)) + (pt 208 128) + (pt 208 120) +) +(connector + (pt 560 304) + (pt 576 304) +) +(connector + (pt 712 120) + (pt 712 160) +) +(connector + (pt 712 160) + (pt 728 160) +) +(connector + (pt 424 120) + (pt 424 160) +) +(connector + (pt 424 160) + (pt 440 160) +) +(connector + (text "100Hz" (rect 1303 320 1333 332)(font "Arial" )) + (pt 1280 336) + (pt 1320 336) +) +(connector + (text "GND" (rect 400 176 424 188)(font "Arial" )) + (pt 392 192) + (pt 440 192) +) +(connector + (text "VCC" (rect 400 160 423 172)(font "Arial" )) + (pt 392 176) + (pt 440 176) +) +(connector + (text "VCC" (rect 400 208 423 220)(font "Arial" )) + (pt 392 224) + (pt 440 224) +) +(connector + (text "GND" (rect 400 192 424 204)(font "Arial" )) + (pt 392 208) + (pt 440 208) +) +(connector + (text "VCC" (rect 400 240 423 252)(font "Arial" )) + (pt 392 256) + (pt 440 256) +) +(connector + (text "GND" (rect 400 288 424 300)(font "Arial" )) + (pt 392 304) + (pt 440 304) +) +(connector + (text "VCC" (rect 400 272 423 284)(font "Arial" )) + (pt 392 288) + (pt 440 288) +) +(connector + (text "GND" (rect 400 256 424 268)(font "Arial" )) + (pt 392 272) + (pt 440 272) +) +(connector + (text "VCC" (rect 400 336 423 348)(font "Arial" )) + (pt 392 352) + (pt 440 352) +) +(connector + (text "VCC" (rect 400 320 423 332)(font "Arial" )) + (pt 392 336) + (pt 440 336) +) +(connector + (text "DIV5" (rect 360 352 384 364)(font "Arial" )) + (pt 344 368) + (pt 440 368) +) +(connector + (text "GND" (rect 680 176 704 188)(font "Arial" )) + (pt 672 192) + (pt 728 192) +) +(connector + (text "VCC" (rect 680 160 703 172)(font "Arial" )) + (pt 672 176) + (pt 728 176) +) +(connector + (text "VCC" (rect 680 192 703 204)(font "Arial" )) + (pt 672 208) + (pt 728 208) +) +(connector + (text "VCC" (rect 680 224 703 236)(font "Arial" )) + (pt 672 240) + (pt 728 240) +) +(connector + (text "GND" (rect 680 240 704 252)(font "Arial" )) + (pt 672 256) + (pt 728 256) +) +(connector + (pt 624 304) + (pt 728 304) +) +(connector + (text "VCC" (rect 680 272 703 284)(font "Arial" )) + (pt 672 288) + (pt 728 288) +) +(connector + (text "GND" (rect 680 256 704 268)(font "Arial" )) + (pt 672 272) + (pt 728 272) +) +(connector + (text "VCC" (rect 686 336 709 348)(font "Arial" )) + (pt 672 352) + (pt 728 352) +) +(connector + (text "VCC" (rect 680 320 703 332)(font "Arial" )) + (pt 672 336) + (pt 728 336) +) +(connector + (text "DIV5" (rect 640 352 664 364)(font "Arial" )) + (pt 632 368) + (pt 728 368) +) +(connector + (text "DIV5" (rect 1176 360 1200 372)(font "Arial" )) + (pt 1160 352) + (pt 1216 352) +) +(connector + (text "VCC" (rect 680 208 703 220)(font "Arial" )) + (pt 672 224) + (pt 728 224) +) +(connector + (text "GND" (rect 400 224 424 236)(font "Arial" )) + (pt 392 240) + (pt 440 240) +) +(connector + (pt 944 304) + (pt 944 264) +) +(connector + (pt 944 120) + (pt 944 216) +) +(connector + (pt 1208 336) + (pt 1208 304) +) +(connector + (pt 1216 336) + (pt 1208 336) +) +(connector + (text "GND" (rect 400 304 424 316)(font "Arial" )) + (pt 392 320) + (pt 440 320) +) +(connector + (text "GND" (rect 680 304 704 316)(font "Arial" )) + (pt 672 320) + (pt 728 320) +) +(connector + (pt 424 120) + (pt 712 120) +) +(connector + (pt 712 120) + (pt 944 120) +) +(connector + (pt 848 304) + (pt 944 304) +) +(connector + (pt 944 304) + (pt 1208 304) +) +(connector + (text "DIV5" (rect 301 432 325 444)(font "Arial" )) + (pt 288 448) + (pt 344 448) +) +(connector + (text "H" (rect 120 448 128 460)(font "Arial" )) + (pt 112 464) + (pt 184 464) +) +(junction (pt 712 120)) +(junction (pt 944 304)) diff --git a/SLEA/DiviseurDeFrequence.bsf b/SLEA/DiviseurDeFrequence.bsf new file mode 100644 index 0000000..298d230 --- /dev/null +++ b/SLEA/DiviseurDeFrequence.bsf @@ -0,0 +1,43 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 112 112) + (text "DiviseurDeFrequence" (rect 5 0 127 14)(font "Arial" (font_size 8))) + (text "inst" (rect 8 80 25 92)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "H" (rect 0 0 8 14)(font "Arial" (font_size 8))) + (text "H" (rect 21 27 29 41)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 96 32) + (output) + (text "100Hz" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "100Hz" (rect 39 27 75 41)(font "Arial" (font_size 8))) + (line (pt 96 32)(pt 80 32)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 80 80)(line_width 1)) + ) +) diff --git a/SLEA/Sequenceur_vhdl.vhd b/SLEA/Sequenceur_vhdl.vhd new file mode 100644 index 0000000..03478fd --- /dev/null +++ b/SLEA/Sequenceur_vhdl.vhd @@ -0,0 +1,22 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; + +ENTITY Sequenceur_vhdl is + +port( + H, BP1, BP2: in std_logic; + Count, Reset: out std_logic +); +END; + +ARCHITECTURE Sequenceur_vhdl of Sequenceur_vhdl is + +BEGIN + + PROCESS(H) + + + + \ No newline at end of file diff --git a/SLEA/db/Chronometre.(0).cnf.cdb b/SLEA/db/Chronometre.(0).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..411fe822d88597daa5ef58d675b7f4200e4a3844 GIT binary patch literal 779 zcmV+m1N8h4000233jqLb0B!(300011WpZ@6CZa@bB00000044$e000000E7Vm z0000001E>E000000C)k+l{-=cF${(`glENB7@+s0qRb4ZprHxtdFa30*R*goaMgNbgx6G(JT?(8(%@6*!)60vmBH zC^~(uKrMAQVvZD(F=Z5A#c@8-!bf$QFLGq?`+_p@&QVrhJmxcuhR^dMJ((L%s@Gi JJOBUy|Nr3ZO2+^I literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.(0).cnf.hdb b/SLEA/db/Chronometre.(0).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..0b2883c1102133c7fe099a69f82bd172e5bf19bd GIT binary patch literal 627 zcmV-(0*w6+000233jqLb0B!(300011WpZ@6CZo2{i000000G9y(0000007?M> z000000CNHW000000C)j}kv&esKoEtOUxki>f+M&>GPb3Z$dyY=xdB3mG_k}cQjXw~ zl9JLTB_+q8%n>Lf{>=9_9&5X-{CVHZJkO5pzVCa-I)gv-KIGMs{}=y0eun;f<`8D5 z+~y0v%#IOd?N5eS#mb85Q7BrNn<#?oo1USUgq1P{Lr+QT8+%d(yVh|!kAp(N7wClb zfpaxt3oV+08q8@Cs9s`f&OyY+AuHK|E8}!5?4~roj@K5{fUN zruu4S9XmAK2DGoi+T>`haUQ+w8zdynRLF4wWwTl~i@I5P-^?GcuWs+|{{a91|NnRa z5@2Hh0uUht#KG=<@jm{}jv=1@K!#^<$m!l)M;JjW7#zSP0|OI~mH}dr(g4?>V4xyb zzmWLgkRVS#_jn*PC^9+HxQQ98oCT_hA4tQ@_jL^pc64`zD0Pirvm9h10|N(`WMBX( zl>%ZX$6(ipcn?q4AjcqQkI47{|Dcd~Pd_(*Ztiso5OW2fT0jPf0I_edJHqwB&K|D5 zjvp-R_1VG7kj)3#0aEPg0(7sZ8_@6CZo?n|000000KEnP000000A&FH z000000G0;;000000C)l1n%R!zG!%x@HSD{@dIcAVJCM4n*yhgDY(heegm|BNAzlIA z0=Piph7tR|uT%WriI2;6oTO8Y(12TsowNLBv3;DBdcWWAuj{(E>$<Z+_ZS#HR3Mbw5Me}Xi zMb?CweR2#Gix+~{IMj|UV&7!Zeq|Crd@mkvdj1>^-j z29_4sR9H7SPww>%KdbnhMG`K=Yi*N@VkYOZ!SC9+UsM}CV+U;VT>FEb$iDLtNe!Os zC1M=>+dTQbyDP>}7c_kQMtqgdK^z{R+z!7~`Oym!g)!W!3yk*^FWLCj?)}mIvt;1u zN-sH#Y1Glpb2ilNDIdh1BaCeO^<$6JMA<&?%J|@8`jsy16Wf>%+vG!C+0iC*Q`(zn zutP&SZ`eb7%@_^|g~^v8AR@dYe+`Tz+n9y_bXL{Bx{=ykn-?(x+;twqaqtR)tU z+XR#Nkh!Db3z)35mM`dx@$g63JAI@T_GH)Agg&@}R(iq17w2QweWZtnJ?dNSi&)?U z`kIFy&I9K$Zdns%_jT0MoU|+1#ub#oqM?n&FNH9wed;O_B)-%Xqpc}wH7G3vEF|;q zR{$`-nsI*ftlPWK2eJ2J9-W^q$EmQ@LBteT;#&a_3ZZAgLn&o5YcW zJC($ieJof_$E;TCUH)pQlVQ_o@qUsXSVUA~ zvPhtD3etPS9(vdW+v)W1)LC_*f@+)^ynA%vNBySidd^Qu{UA^oNg982-#b$?xDH5xt=PaYtdtrSFZT! zm9uQaiV%cuiz#OcImk+}K%5WHiw$F^=RJ$?tzN&$kFtoxS}`XUE&T&xpU5vZ+hKDE zd)U~orO{$eT!9cuG3ONhImJGdZxkj!C=N@cK*Jy_QN++Qn!X|Skq~)_>&2RP#4zl; zf5Ip`@E|s76tM@BQQ;38MtQpvC-mGV+DdF~N<^YqFI?S0D-%))^p6X~cm<%`CB-4& z5Um+P6gy9PIal-|8HTnJWtmYNnnU|oMtwg|OnsT&sYuUbv_wnM<%40QIX8{}2V$$~ z)yrvoCk3OZEvq&rIMbMe>=$s3=ueDxmvtb^B2Q^*jcCyMz{-9O>Teod_ZiE+vYeJM9yw#fOF+-mae1n1J}&W}`xbum1)J zjPF;^lreT%-E&-m!3L7sHs&~ZBI+{jT%SjpUMTFDw;5#k6kuvdF26Zo|506x$=2f< z(<6r+r|}kKpND?~00960cmWb%V*mmWAq2$1?tbxpt|302!6EUkej!1T4dH(>7(pr+ z9Ka+40~3%2DRp*niVt>o3~_~NsC=W|!VXr{gQS!nRjI#=>x9*d7jS@8ctF)z18D&i zb^al)LB5;xceAiE7y%_ra4Pf!DYV(T$e4v89jHhFs<0DCa|5xnyJNh+lb5S=$hxG@ zrkr3|7N{DKN0@M cVpLB$`};c0a9PB{#-I$8^8sQ200030|EGtmM*si- literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.(1).cnf.hdb b/SLEA/db/Chronometre.(1).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..856edbb14f9b644667ab05ee5d5731b1b5a0cbdc GIT binary patch literal 1185 zcmV;S1YY|O000233jqLb0B!(300011WpZ@6CZhH#=000000LB9V0000007?M> z000000HFi`000000C)l1Ry$7{K^VNeq@;66nUX5PF(_rV^yEyH)Yjz zzb{*(L^Ds-qH4N{x6*Wne%Fxql2F1g9G&715}HQjhD0%OWV^kD+Jt5a9TG8(3<>rl zBRQGmU|o!}%Ed~IjhsoG9VJeP-QWfzSu2DjE+vv?5K-MkWKzCbyYV8^{x~9=qD_i+ z%FPB+IaZsbQlt|i^;mvt$*BNtiXu=V0$KTc>ZdXcktb_H3dQ+*PC7++-Q#wuM4>+s z{tV$)SRUZBgvAP&mfb!UjtLbDLB9}7aS%u;mYITBifOJm!-wSx87G+Mz*M+lu9$#2 z2C>521!napZFhtp4&}K)q<^d`V&&Nj%>OHCbHy2h!XTE%ED-Xzh}-xjmf|p1^zrH6 zLH-^lF;A&DkU8260TnR?#hIRG0%8i<5TPQr35rg2nkelSq4#iLmDc4J%Z(gT6ldNC z^%g2lVk(TyJ$ji3u@r^J1LSOxlb8xS%02FILgBe0mPetuM-QxlP2T|XZN?vTZbJJz z5Z^IxfoW0~rLMq6lW)K@!3O{DS_vb@GFOI=_-VET8xto0J_)+d|=&-6OH>t;+}40Xc}?(o1FyRk){^Kh;@ z7GPFlif*_w#ttVj6|T6OKA0tTIEf*w3IR93X%N%G7D;x)E`Nw=QFO8ZaT+GEswfmY zU}F`;v?yLfz7yay;`?EX_k$m%(=dsZq`0_ktrixSSrTGaQ5-lO;)sV;9K~i4d`a;y zcZiwLaI;mNC;xxOA;laZc0%k;Y9J0tc+ByE2yO7(pr+9Ka+40~3&z0b-ES0N0>kpdwelkoe$`AWuK{cpx(< zGC9(?i5aY%1**v!NW;wcbqx-7ba#a)b&X%M9AqK`0|%I7U;rtV0%9k}VAqIv4^P)1 z#~^2q$oK&NppbY^KR17F?sWz?=*+6y$RWs9JYd7j(l7Lw}2~G8h3BfNTc<00960>oW%) literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.(10).cnf.cdb b/SLEA/db/Chronometre.(10).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..9b08b1e16e6e3911ac8319f1e8793210f202d5f6 GIT binary patch literal 896 zcmV-`1AqJv000233jqLb0B!(300011WpZ@6CZio^9000000D%Gk000000G$B< z000000DuDk000000C)lHS4&dEFbqu!44+}x!X3B(!zx!P?uVInTKdVb?8#uuIatD$ z4ga90M6QyyX=6_}CF(eKp7ml?auhj9lH`}#c@P9Y)NiZN+^FCgZnjDA3>ravCc8P)ld_Ehm|58RLGJpHJ3i#L54q(-Ha_GVA9B-`j9oIafewReyM)=!k!H4YsG02? zYi2tKo7u+8=g#5gwVmV5NpToYwe1*F>gC)k>a@B;R))gIK#g%_{j8+%*kqy~e;K9^OTu4r-b*{`X9!#$% zF;^)eY{DV&gKXMXqsLo8k#avA_YSF7hd{UiI$XnK2Rpb#FgdIVrVd~Nfhvb8!H|5@ zgOVT(LbxW@0GGKM;L244Z5W~ft}iuUiW;eZv;Tl)n_2^w&5Lu|5i>??!Hq{VVvA^t zur2Z|*0IH|)iIcdScJ{VAx&1ublCB#cmz-83w@M*$5zc}>hfCDYAYOqS6Boofm|+v z%<$ro84$g7?Sj@oB-iQr%m;q}00960cmWb%V*mnnAQl4RV0XWGKi3c+&)|@FSHF;; z$cFGg8H^wm3=UwDfq@A~gOobEIK>CMJBGNzG*rG(Z{Ywd>OoQ}g`(8i-!~vWz&{9T zx^qHaRR6IL%?zzJ620ad34q(OQR>ik1ogM2sX?`C0T zPykAp05J$)D)a;?wAs4In1w+Vs6YXv3J5?7xq;Z(-7((Z$;;I_WL?r{Q!bDc0}Ggh z_?;QZ76D>}%^sevL5@Mr9+6My?%mA9017T0Am&9;b!c*1~y^>P!RwC0RR8_UyO(V literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.(10).cnf.hdb b/SLEA/db/Chronometre.(10).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..f2876452b2cd55771d9faec2634d218812327021 GIT binary patch literal 556 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXA+W}^v5kL^Y2%){9v!mn9Gn9Dg#z4$6PT8tS2Yb{ zz8WlhHHdd>!slJN(>}%CxV6jo?7LgD>~1+<%CbpyVfk)YDjj%mL$6JPjp{arKt+uT z*5wJJJx+`X0a6oRxGWOwj8mC4@rBzW+0MGE)Qb98>FDy6Q&rrudJgZH{l9+Wf7c7M zZN%d<6z}eq&$ztf1JJMk|5q>yB_uO22`I80Iry&bqusxAeTwt`Ikcr0oOpRJ)?LC; zOG4&=3Ny3tglUXP6D~1aUy!gsDSZEg9}gxd_}hQ4P%zdovb?mTQ*zB5)>WRo9*56- zFXiPcD=BZ)DD92kER&+d%*;L`C4oV6DpQjB@r3Ig75x6!6^<*U_n-K|@PEOC3V(a^ z`n-3gTmr?c3!)s@Iv6(QZ?Hb}_24@6CZWA*A0000006+==000000E7Vm z0000004WOq000000C)l1np=-$MHR<)g9>;-i6-DB5>4}9Oq@A~>FGWXn4K^_7zxn? zK2N{<;-?{kzzhfx5R`CxU__%vg7*ukpaRGLuXe54wb!nyeY(>bi8ZON+O^hy-D*|s zs(og=-EQAky}9eU_tc)I3YyCI_PTCQ|89&UdhFhnT-yJrW%zpjsL+1reCX;A9M{=H zY+L9LiRbQnzGaB51ejnOYr)~Yl$4|Xq{{EPbgwC*#h$#hlz&)^-?;a_43GKKvW)+B zh9BaWOK9OkArMl4!9wIP``yHa`&H`M*bxDVEZ~( z_}TnnL3@Z>Lhp6&pJ>(sdg3{r=B4F1`E}pjlPL$PH5C?>_21fVkLg>vjMjOPrk-_4 zom10@v1y=BgY%BD?I>+WwjGqtO_`0DZ97PdcJ(tEu=BW+<7# z7L4i9{516iXp(e|Xi_s2^qHM;=vv#^vc=3Ku*puJ4n|ANS?Ax1|6k%N^*Cr^4NEhF zgzmpYL#`j^Ij4D<(8RJR^RI5_Fr47WUMFKMTl6mNJ%;DpPEXHir}d#EQyXW`_*LhJ zjym`SZ5A7|1v`G>a5?SQj)pAa0?yMkmh_2lQkZw*PuxDEniDN2i20wLK5PL)t;I~T z`Zo%=k7%4)!!iEH0#2{sUN4m*&U`P~S)(xzH5&fCDLQiqPaYR&*l$=*!*6`>Z$xnT zP{AczN;t!ul=hB%sLWByiGNgLZ92VwHeSM=hK3yM1WV2J5zAW4pqak6oe%h2qcIOP z8aY8N#Z2#pG)LH*+iCVQ@1AD*;P?KKV|gCtG9@bMlZ=VJmdw}1tE*M;A(uzr;~td~ zu1vCd3;iZle0W-T+FF$#oB)GOid87IlT&6K@47ouZ|Ino$9K@eOn@>i94prwMrK7D z=JxI2#y|q{a8!Z8yxgJCr$E0Ldhx;fv@iiK0kPpQTtw)7Ts1FFC`=g`PJ$IoS6Mgj0VpX6r&W=OK>o&G{64l1^P-O)*)o+ z2IFr|hK}3qY^H+g=R?e3_4x#uRrFPv|Jsrfhhh#z@5wA#h5$UafL(-oMeli`S%Fq;H9ir2$BR2h<`FiQn&_`GTjy$O2&zTT{w7xZKK_oZ9kC#n*T zQUq;JH7Pn6@sc^_qJgBR)T%iaU(uUkWv7in@57lph zh&jkFs^(=0c`-x`q>P=+7D&assFS$}D;QvD|JVM|b9Aa1yb0?LF+S&Pnrk5*cF(kZ zU_f4gxAy%2U#C?cuSH1$WHeKMxHQpe-TcXg4kM88WF-Q_I!Q+Og=_F1Rp9u%N&ECa zo$}Vafm{W>K5kc~YdRIkNu^J{dB@QvN#tk~u%n$;UrXj_i=>C|EF<@6^^Gzm%lR-$ zpVLmOuO)M|1^<4oIfVPu&MxJX^L>=5JP4;O?iln(%CO_;xmSYCXvj2vQr+V?!Tl`S z<7r?SE8(U&I3mGJX{U|n#MEHNsAbY~wA1Qq$sBEA{{G+n^WU;8GIQUJGUOmf5OPJE zb;xg{l7GBppp|loyk-1v4%gC0o^jk^J$RYYn{wW4uojuvY0r7f_oeCFj?|Vd&}!d! zC85`gxBo-MPU3ZUO$YrRoG`e z>=|iFmR?!bVq-?5>}ls`fyL-ej$V&g5U52H(L@nE;awxg#XWVTln z5WQtvp0f8oEVgc$O`kZCv?Ps>X$x$MnH^1+q{Zx5VmmwA2#8J_OD=HC+JO!8cXPqL z+7!WgLDB~~-*&K#@Ny%NbN$@GGy+>ZS}n0P!ppw#?4T@3whq#-s~ge0I!BczDz#h& zYBCwG;TW&s*hOnJ60jzd<4=u-%o(wZZ0r~I81#k6DoZy9uVKauS)2p5X%?GD2okdezW zV;CR)g62aFmwM>?YM~e!dbq?zBD7Q>Vmcc#bk!cBfl+;D`2B|w{a>q@YTh5b$!q9U zK%*yaH|h-~jvdFac6#oi!p4c$lW}$ljnHe@PN$dH4QScy5 z%|yZ`C64z3JD7LSq)Hzk0`;=NPUO0%{oR3dh z`;ia5tRyb{$oQI`+0(FJDFq>9Np|ke2T<2`sm;T z0`cJ>te=$w@0Zp8sru#{davpkSzl7W`!4_h0RR7Z0TN(i00Iyp1jNDae(`>;AwHhL zA@Qz$AwiK1;eRq1K`Iy=z$60$6OaZeb#`%z4|aD9afNB9e52mN0anz5q?8|3slSWs zgw=}|aDr8MK-EP7X#o^<{vobGzMJ%Sv#>IF0wqjvD)a;?wAs4In1x{zP>}*u;dvm< z4aCmwj`99ZUarm|>ykd3a)D)8plU!K0kK7Z7~vTYPuC#FAZL%rr*rpiW?}&OT?dGH zQ51Rl-|F6bhnay7C>jIAAR1wWi<_Hy-s@6CZsiaF0000008Rw}0000007?M> z0000004W9l000000C)lHS3PeVM-Wxw1RzqWRLPQ6!d)Kkb~^z+0}5ggBp?GUg&+u2 zx@4(RB}u0LVD=to-_E?9**ihc&(F_C7(c`Q z1^#MZt^sSs!Fv6JdVRGo*WgpWU#!_H*lPMM=Gk|cUcdc?xZ%`tt)Fu492ejA3;F}6 zj_$Vo9`l>U`64}+3x#u>-CT2{dB(X<{xo}fg8WX2g66sWP2_5$9GyJ9m~)AlD?(8G z7%9w6r|IFSRbl6H9A#Na!%B@gf34bfB6pc3U7eDlH-Dq8Oo$#5 z@^q_NabkaUx{9V1d7@Iig5NBb+EuztzivPQT>)(YO##YG4X!5fb1u@?RSA7vb&8=K zmFE;rJ_!`6k=weXukl<(q4GlI&1;k<7A=hq!N~KfrK`NYMwu&e?r7N@s??}}sqfm8 zph6~CmHC=`R`d9(!iN&PKdkBm3ghbLP>T~QF;=R62IG25z;OvS~Hx zR}w*Aj}mLiLX8;e0m7jst}e$z9S<$91hKYy!V>L(Xab!3Qxpe1GxaklM$A7UIM?H=Hy4 z^_*bgBTjn(jC4mqA##vCHr`|-CZQV=82J(o8Zim&kTAlJi)_RsG%yb7B)G^n!IK2j znE($pu%IJgmdu%=Y7e{`nc?U8w~}n&eUFyWh#8|Zty{9WIm>BoZf~-Dz@9xeZecrM z=`d%#!~Q2kr`-dKVRTT_A6TJ16ox6sF&F_WG-Aat7livRu|lgcOvN_XbcZw0h#8|b zO1Ee>PrIQ3lhK=cuodNMoC1^K{?EYv2`w{%(ZL$<9JEr8V07#dc8_Z9V2{AeGB>9^ z!Cszp13LpIqluU}>IM3|rj5CzC!iJvv9g%nQXK7f3XPbIXbN%$Pf2qPtTa=j_5C2t z6gW|gl6}s>Jj97&&hvyX=`Hfp*e6QyMhYCY@(-CYGj)BbH{Nvb9{U)FgIHNuU=H1Y z7kgu}j6wapH{RSt7HW&=v|2Q04#P@-r9n&~!Mwa&;tFjHOhz<9Sjm#66eOC~(2H#v zm0@lGW0Wk!%3^d}VD~y3u^8sKFUBxs=Vd4{mL-NM`vmQ0XnyYazbhZ6U~4R1;(ehJ zQ$}l&+(7;qZW^&JnKM~#uxW#(YuF=jx`(Ey8@SmsJ}FxiZ>}o;(v1;e3R~t6UNrZ8 zcwSXzY|=dOpeQGem}#Op%ov_W*gfn7 zmV%i6()R(IKf*@94AL1T$M9TYl~%NN<}|lh0ZD_+Q4Aw>4euRf-ojYfBt%#72W;ya z*M=W|{q6Vv00030|9AlsU}FFR5FrG_!R~(XKK{;*A)fv~hG%fd>E2yO7(pr+9Ka+4 z0~3&z0b-ES0N0>kpdwelkoe$`AWuK{cpx(z?=*+6y$RW bs9JYd7j(l7Lw}2~GI#@6CZbBUZ0000002u`U000000G$B< z0000002c-T000000C)lHnd@%cL=?xJl&iQ(&gVlAe*iq|gFxGapAn`vyt=jR zGKJaoQAyhrVExhFaej!D%x=8$tp+0}RZ=24Y5*!tLbEP1r!cyIF~9{B}85glx8 z8%zr+!Zu57^2cEHBw*thlAsk5jV(S2o|sA!`1vUQS`uCjP5=u@pyt3%BnhvabQ1W@ z5nXj9y#8ns99F&j>Jx*BBHqyQ2A;Dz%oQ#JP2^P6(7e1pPH3KttHTa+ZN5}hX1PAO zSZ?&{NcA(Wyj~yQ7=8;e=o8PB7!*;`cy-?{<@01J8M2iCp|>H@~rdJ1-w%wyr=KQU3T`TPLF;5xI;|0Q~XE?7-(K=Yc2nVX%e;0HqdfEV z{ijeDeB&1LV;GYg_t$SSF9^TBwszJoGV9TdP8~FbAp@Ma8Tutkjopxcwu<6 z3?>_%cN7&fO&iXMn&M}4Qev3+Z5)i&cxLALaUu-EJQOx}hN<<_niOT5<%wVAh!}H* z+8|WA{Gqw*Amlbm7M6jTKV~hAN)OE;I1(v2F&`8)%?GDV(_}6nN6C$2j>EyCabi9+ zDml&h@JN;=+(QF+C=65EkPm&%jTm!=wTVzQ9}a5B2hg->1)GYLpNu`F3EPKLwB(L! zpcCh&n4Bml8@0L9VtC{0i}ZS>xn7G3&} zf}9(18#enF00960cmWb%V*mnnAQl4RV0XWGKi3c+&)|@FSHF;;$cFGg8H^wm3=UwD zfq@A~gOobEIK>CMJBGNzG*rG(Z{Ywd>OoQ}g`(8i-!~vWz&{9Tx^qHaRR6IL%?zzJ620ad34q(OQR>ik1ogM2sX?`C0TFak=LKoweJDD(s= zwAs4In1#UxD5(Hd2(pM)qwoY48$TpjIi0m(>2I3 z$k`+E>D;}WnHWI9r31vgD2hD&Z*}jz!^|KI6paC5R3kv);_n9x@l>5xuh|$_feI!7 JF#rGn|Nr2E-rN8H literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.(12).cnf.hdb b/SLEA/db/Chronometre.(12).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..c6330dee77fabac58a8c62c4c838047d7a32c393 GIT binary patch literal 948 zcmV;l155l5000233jqLb0B!(300011WpZ@6CZq5e)000000NVlp0000007?M> z000000JH-D000000C)ksRliT$Kp1tQMUiMFh7L$99XlZ$S;Xp;#5QDV5wucANPG#F zic^UUQ76WXjQukh85#2@bYx^?jQEwl_uZXa6Wd#R;(dPKk9YUo**WI(`P{)+2cE$H zCAbd92Jncr{udt19=Y}s&{~CQc;5DhBt0E9UW?~$`{LH~uA6uL6%+@bzI^=r5~P=o zIA4cBcWbNjgJeHCh$Q$_BYnzCrf; zFiA%Tsy9qymHA;ZPSlB#$?vggS;~^olC~usOCpk7AB}~GXNv<%S|s`7sV|1y#gNaC z-n?a9Dbw4wu{evVjP)}%5cYiQ)MhbrJd9C5xUPX^X+4Bb5Yl(%22i*fci5rfp+&sxhQ!hGy0c z$Ze6UYjhF$S0o8?TjbNhkVdCiY7YfJ0dAAqK9Xq;!6tBP0QsK`9MSSf#yFlCK+cM8 zsa9vIw7pWT&QxjRQmxKZX;rCKXR5UQQmxKZX$PfRouQVWeG{V4xybzmWLgkRVS#_jn*PC^9+HxQQ98oCT^$4M@Yx_jL^pc64`zD0Pir zvm9h10|N(`WMBX(l>%ZX$6(ipcn?q4AjcqQkI47{|Dcd~Pd_(*Ztiso5OW2fT0jPf z0I_edJHqwB&K|D5jvp-R_1VG7kj>|ZD)w{%y4TYUXvg0cO$~5JFu@6CZbTRW000000Imc8000000KEYK z000000KEkO000000C)lHn9FX|NEC)UTn1RcAdR>#cmXyLX+UCSIvrpI5rGE?!9WXP zL!6#LZ2HOIMOcD_#LNmI?$G>Sb)B+ZF-F}638b8;y6W6dojR^dCX>nJi`wB{ulHH~ z&uB6oU_qk={q6M>@K5qf|4}{Uo%{%PsGWBs{UET*5Z`}K`I5;`WDDUcv?>1~!KHkZ zZJNSD@5@ZyiL}3R+SKuHvoczn|Ec<-iPFy+{?)WdYvIpgfXw&j^^WpV7v(`0<>fBQ z7rH24oL8C3SPQj+m08vb?Hir6*E?yaowRRt(!SkE`{vx*`<3(i`7qmDP1p16X3Clw zQ2teD`_6-%!SLZQy*Ia=J#wh+CLe__-XhSJ>h@G{#{W$wW8=|3iMORGQ$Z(e%fgZ= zrSTWBMn;`DgS8*)v>rwyHp3Je_3OlKYxR7vlO++U3H{B)9`7u-Xuc@Bx%jDtFRo!) zB259F>G`T}(=)ZEjJDaV>6yPk^n+?F+q^OZUGh>|VHB3AVg16gJ05G~>!D`igXmAA z-P;7<>D);SulDemN0nw{cz=oaqSc33kTk*VVl{aOK&xI5{BTo z+F*={+R&2Th8Ks_P(|a##G;o968vL7Y+~ve$Tm^LSO7Q-f*<@#%?MxgXCJ!kOS@mJr@V86qUy zYvyCJq4nv#_G56_PV~xiJn&Zp{Ts>3{i3G`Q>z@`so6qF;(W=2_X&bOGsQ~BbcI-r!V z2>e4SUj{}g@66N@GUffBs)AD9=}oJ=r$Zr}^J)$#KL~w~^G zD&a{6AzZejOFJ7`;6)d4GvwA11efl=16QtJz6Qc)X2h$@tILkqD2WvHhla*iDT0PW8jJ%PQ9qkE0Oz^EQ3ziPg#>~U}mUZY= zp7Ji3{@RFx0SZwXjh<~%|NK~E48*$?I{2pF>u1Lp*m8JFpauvM7`<8o)0C%<7uTQx zk_+%w9!*X}jzwLP-TX1b9fX}0(|%sKn7f^jJszURygjNP9GgeIUHq{r>H?mSL&jZv znr`na00030|9AlsU}FFRb|4l4;$U~bct6(=AJ5>BcvruWpvZ>sKN*Z56$}nwl7WE< zNQ0C*yEw%MyE}%s!ZcLAQE%Y{E9yZ~Dutrd+21!HKEOW+YPxe&`T~&E3=9Hbl7T@D zNb>_RlIi{~t`k--Ucd!b;en(Mqz9qSKg2c2ca#2Z7FGrWpo9rjp*4_3Rp<#)XtQ;Z zF$;qVP*MS^5M(nq5Ieg&#``;YxjKieOZsdI5@BFq0h18FgV-WKjIi0m(>2I3$k`+E z>D;}WnHWI9r31vgD2hD&Z*}jz!^|KC6paC5R3kv);_n9x@l>5xuh|$_feI!7F=|Kv X6*@6CZe$4n000000D=Pm0000007?M> z0000009^zC000000C)k!RXdNz8|Ihez5;>H?0Fn0hI(AGsxQp3**{VF_GwgN4pd9w1DD(|u z|4z(^iS~M8HYVC!@K{Bv%jNS_1uB=SNL8XbybV>csH>uDqLt`|=%(np^%$IX=m*_* zsul)ymDsM|_tmMo4?nhmWlTd_kI5buzR}l9GLM}~U*fG9F14s*)1?4xuN|R2I zbsOuAAPyWnE+nNn-@rM;r`3UsA#9Tb+E26E+TepYVP&;Lwl!reOk6;S6V`!Yv92R{ zJgn4*w1IgHaZ^dTg82Z+4m3`=Fe7VR0nG5`090bG5#MtF4u@;(GLV=tjsw}8DMNO! z#utrKF3dPI9mo`Gd`1*v7FWKOcuJ0AILb@_vgBknTS#CCAzpIgWeML0xJ2JFECMh| zaw+j#89s5I>+oj?PrURdUX}{Y;MipmA;jR~o66W2!q|ziXks#|TN$;jjQUoF+RA8b zWi*!U~e(*S1{2gsVSOhRb`x%C%9LsjebREk9S1MsN<% zJzgB*C1)E1o;{vS;{TlN`PWrW%sK1@2k+x62r={F@0j={mK!0b?-1g&4{qr%S2cq; z=GgN7L)ZoH_~`A?>uSC67XSeN|9AlsU}FFR5FrG_!R~(XKK{;*A)fv~hG%fd>E2yO z7(pr+9Ka+40~3&z0b-ES0N0>kpdwelkoe$`AWuK{cpx( jz?=*+6y$RWs9JYd7j(l7Lw}2~GROfHfNTc<00960Mic8? literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.(14).cnf.cdb b/SLEA/db/Chronometre.(14).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..cdaa4fd613a3d06c160ad04af134a2bb1e89d552 GIT binary patch literal 802 zcmV+-1Ks=&000233jqLb0B!(300011WpZ@6CZtDgB0000006YQ!000000E7Vm z0000003rhb000000C)kcl*vxRFc5~_mi@w8aA$b{OQ;ejkXT-T8yAGsUO45+;LgKv zrK}DAH}QnTBtey!${Ek{+y9L1mStHkHP%B2U()%IyOyVM2qXQrl4m6SDGd5U8ux^c zWJBZl?CSK=EPY7wVAI#Pfc6R}o>+$QN?@v)UEl3H9?gPH8DgLC2YG&O_mQXi^XbL3 zQT@`e>XNkygSi$cERa5rd5bE)xJLGp6;o!H`v0_Smxqa+qj{n3r=HU4(jbySYjeez z(klVSeyI=cHvKNYVy#ws!kc1!d;S&EX++kcR&u%duhRIoE598focaa@c%S?ZazAcv zXY)hp-@+YO)V-{p&WjkZk~Xp`nIqg(R$>m$cfdxlVdi*e;&^9bPlaUu006q+Q?gw@ zKz$3e-im{@7;K~4RV}sE@Yg<{WU&^fEani?Si%#(y3-u4?a|26_Tk=bxb7;? zxwt>miE@;i(I<3e(I&Uo$mM}~v2s(Q`o-SKUWfU? zhHn4>0RR7Z0TN(i00Iyp1jNDae(`>;AwHhLA@Qz$AwiK1;eRq1K`Iy=z$60$6OaZe zb#`%z4|aD9afNB9e52mN0anz5q?8|3slSWsgw=}|aDr8MK-GcN2%xC*4{;6h-K4*p zg_VH|C}DzAp(jY8&DKT6EDRz*MG8=b5dm>F1sqA@_sha&6Z=4PI^ g`a2s~vky=TH4L2neVt~wEMj2?y96W;00030|EURd5dZ)H literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.(14).cnf.hdb b/SLEA/db/Chronometre.(14).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..0b0ca0e04fe30150471bd75f987a91022c76c255 GIT binary patch literal 624 zcmV-$0+0O<000233jqLb0B!(300011WpZ@6CZpi`w000000F(g$0000007?M> z000000B`~T000000C)k6lD$d;Q4obyRDw1sEiJ9Yy}J((kU%OGjbJI!6>UN^24ZJv znbJq_5qtz6!AG!6DgLeB8ScQ88913UcfQHo-K1%nW{KE9fA~ctUa^UOnORgnd6#C_ z(f;A-L0{%(IKHpklq;M(=%eRHX)Qy_#iJIx0GVd*oO05n9FT5+sE&Pna{{cQnOo zsXYQ&VbCMP+gb90?9mL#(1_xL<`m8F{$f&uS`;q;lDtDjgygrlPYcZf9jYSqN#i+x z(+&-X$_UK>&iSjoA%6qIjaLDQ2T}K!}Di|EVBm)Bzkd^^rkkSCxpkSaPSHF<> z;E*6sKlgYbGbl1S(zuBktegd^i62PA%=dK-4t8{Rg(!86U$Y!!A_D^lm}Fo8DU||Z zC&ysdh-E{e%8<l}GryJ0Yzb%>?;E-T|IT>Uq$mbGJweGGi=!P4H{uW_n-~uWD K*$w~z0RR8ud@6CZmkgj000000P_O?000000Neop z0000001O2H000000C)l9n9EKSK@^4?@qWRLi7wr{fdm9y)i8r#BzOZ~z?Ct9L@_2V zoF~&)aj7OICMMo5lB;7>!1wAG!`?S@vBsm&9_M zmNcQiqXjad`I~H*zZSNWh3>HCnd#}3C&gNBS$4t~#oQGD5-V;$%2;NZl9Y^)HHL1( z{M^&WAf&SZQK6yGJexE|wjv7r3lp$13$A8VeZbnr7x`$^7`X1U6z;1&4l!hW(uEB{ zR8xR3x&18^V6H1LrxmdAsNfO~&}thW6;(TZNHJ~t0{ewJ@=Ui^q>9B5FUH6emTcBGOEw=R z(4RJafnh?lwl>RzPD|Aq0axAs&=wt%k*;O~Gx-E(#vNi``9MbJw8qWcUBxnP%4LH+ zo$t>As85)2ZylYI;NjozAf?+fLlf#(98i z>cE)i;F2@tl$gz+f%F?l`DIsnEjMhg#E3m%uWzh7#%AOIECRgLf*GKPKvZU)rK^KA zL{0=VHpWmL4-ObXcf!J|iUA|z0A(&)R7dc!nqt68a=;2$y;bu9yS=pDzy@m@H>9eS zp|6)1vQ968F!be__r8~}b62ymN}E>4~Gnz*ciieir_#W z!JV-9@9z(U)4_L4inXspJDj5X#H_gS;YD}DG zW=kxGb{+GB_KIE)ixXRM(W5(wS+CC%x6FxO6;rl}uI8QSy7G0!+zY=hp1;M#YCMzl zfnPg%tuO1>Qbkm8k*1_))@63C_1~2X(vAIHDOGrrKNQSa>--H|f`;~h{j(%rSz`SV zu$OUZhR1U}=p88V#i&;kn^~jh(ss8w`w0L5|NnRa5@2Hh0(Kx40^(qIzj!~_5FgLr zka$2}pyKI=eW<2fI6lxWY74zEN-C0xRl4QYwX_)Y;!R zAU?o92x_`>RQduAuwntI7Hc5QkD|rT-^F#p>ctDV!74nU>Og8hdJwMg4{;6h-K4*p zg_S`DC}9FssD`1?6Qs~)>mp+o23??}0#qT$W{@4u?vC;PPF}9gA?uPpoAQ8VS)gh_ zerE+@5gSbfEYEPfQp=ExGZ8}X8<`DWC#EN0RR8C CHC!D4 literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.(2).cnf.hdb b/SLEA/db/Chronometre.(2).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..9e74d644798824709271c8a34f59c7fcd3cb8765 GIT binary patch literal 688 zcmV;h0#E%9000233jqLb0B!(300011WpZ@6CZaV`2000000Mr2h0000007?M> z000000I&i8000000C)j}l0Q#_P!z?{_$Nk996NUGqM->D(1n2*OdOnyvlUYojBRmn z>PK*Nbo3+iqjYp+bTt0M-+4%-EWOF$oqO+j?;dy*MNwG97WjcLgR~0iztJzl*WdZt zvjMuc9X)J9l5{lg+Pg5Xf19P_BNZG;MEr_)JBx~S((v@6x6SH0y+gCjT_uamXn`q0ar$M0HBge% zka7SzK%?ZsWmOo_E4S2Ujtw5!P>GyUQ;NhT6vWqnP7)^q`J8}f`ZG`+WNwJM<$)J= z?E`U5nK$936~+hr1jpd5W|)#k`RH+>2;s@U#38xK{Z`9i-|Vh zM^H3-dz_rpQ@!3hC)b(6S))g4+;x~GcLTYry@HRxTvnLeC!;tUhVjWTzRTjYA0J#@ z-~0jq0RR7Z0TN(i00Iyp1jNDae(^s3&W<6T{y>IjaLDQ2T}K!}Di|EVBm)Bzkd^^r zkkSCxpkSaPSHF<>;E*6sKlgYbGbl1S(zuBktegd^i62PA%=dK-4t8{Rg(!86U$Y!! zA_D^lm}Fo8DU||ZC&ysdh-E{e%8<l}GryJ0Yzb%>?;E-T|IT>Uq$mbGJweGGi W=!P4H{uW_n-~=iF*$w~z0RR7TS1il` literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.(3).cnf.cdb b/SLEA/db/Chronometre.(3).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..0e8be22ae696010eac0a0a12be48d1d2779ab09d GIT binary patch literal 896 zcmV-`1AqJv000233jqLb0B!(300011WpZ@6CZio^9000000D%Gk000000G$B< z000000DuDk000000C)lHS4&dEFbqu!44>h%ggbBnhE=Xo(hoE3w9q!gvL}PPu!Joe z{y|T%TqSMO#-46U)N$-Q>&2?%C~}&n=`XeOAP9b_-&CW=TREXoNWTMvgw%i2+UUQi z2fTv|$u70H-{Ub~K+H=SeUDV*{%9~`ROgS|6UB$=c_~{T=J#99beP{7BzJnjD$B!* zUA1~z$@m7bZ(WxLx$8sj_>kK^yM=rE|ZOPK8(X=Xczn%T~= zX0~&%nH_ui+&SF5wsX8WDGrl~wjElqDJOl^B=HmQ)|GoS#eG~V#CMJBGNzG*rG(Z{Ywd>OoQ}g`(8i-!~vWz&{9T zx^qHaRR6IL%?zzJ620ad34q(OQR>ik1ogM2sX?`C0T zPykAp05J$)D)a;?wAs4In1w+Vs6YXv3J5?7xq;Z(-7((Z$;;I_WL?r{Q!bDc0}Ggh z_?;QZ76D>}%^sevL5@Mr9+6My?%mA9017T0Am&9;b!c*1~y^>P!RwC0RR8|FN&4` literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.(3).cnf.hdb b/SLEA/db/Chronometre.(3).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..f2876452b2cd55771d9faec2634d218812327021 GIT binary patch literal 556 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXA+W}^v5kL^Y2%){9v!mn9Gn9Dg#z4$6PT8tS2Yb{ zz8WlhHHdd>!slJN(>}%CxV6jo?7LgD>~1+<%CbpyVfk)YDjj%mL$6JPjp{arKt+uT z*5wJJJx+`X0a6oRxGWOwj8mC4@rBzW+0MGE)Qb98>FDy6Q&rrudJgZH{l9+Wf7c7M zZN%d<6z}eq&$ztf1JJMk|5q>yB_uO22`I80Iry&bqusxAeTwt`Ikcr0oOpRJ)?LC; zOG4&=3Ny3tglUXP6D~1aUy!gsDSZEg9}gxd_}hQ4P%zdovb?mTQ*zB5)>WRo9*56- zFXiPcD=BZ)DD92kER&+d%*;L`C4oV6DpQjB@r3Ig75x6!6^<*U_n-K|@PEOC3V(a^ z`n-3gTmr?c3!)s@Iv6(QZ?Hb}_24@6CZY*d3000000QwIA000000Hy%| z000000QnFA000000C)lHoN25jMHR>IJluB#!5xBtXrg)dzFqy$IBby#AdAKrO%xNq zDIqZtkz6qbH7+5FqC$efB|%M$L=8#+MdKC?#4WOjf;;ZG04hBHzv`~)>guYx)%U%L zGdMNrSJidS|D02&PE}V|*PYGH&CUCD9XuM1?o+NZTzUvrI z`;O7W2f%UN3tRrp(PP>hQ_ATEywm3&3O;5l;A6J}K5i@E9 z>D#Y0v2SHtnZ(Q9Ok+$lmcqEFeQK+zkF{?>G%h<1L%+mi{;l?*k@<~;KVvxGmJnyh zW(?<#%@Ak%FNVwM^KoEpyUF(^nvLrpCi;PR*qdo3aUHhrM)^YTG$yWdkqOnUHr{a2Vpq2FdFC+a&zsLITTQ#qlvtl?fTn9w_DSuTO zM${a4CIXu6d2T7!G~0{`2h&ai13^r7wqrX7y=TwzBqJz7RTILgA9rK+IDk0(rUq&p}b0&Fj-lF8FFlkR#qh)Fy1gw8v80_cnQrk{E`fO zvhG@Np;$e%F?<$$ylS)qd##UExkUSTW67fA=1qTNoU+wUp1Sav4RBPF$k$CN{1X~! zwOk|@r8H2F7QND$u=ceW=Y>i}pAh11&zGRzo9Tq{#u_r{!!s#(38=1gr>&XEkRd zjQ10IB09G(&5SV3mgzRHXCsWavb1IwVRp3R0}>EjX|2mHM6^EW49J<#B{vNWhq`HC zFm&P`AcLV})3*fWaH#2=xuA>lyQzL&qxJiPJI4)!^9A=4;s^=*0HL3w@x0+4N>>Eh zi8A1NawLOtylNr~&1mpb34F5RhC^)DM7y3K8SSR8(c1aWsC%nD3txBeFG-U>2~oD% ztqWs0jlM}1Dl;l=ZlZykP6~~*S}v1>m~>m$bY4%3nTk3ZxVc_!37_;I&UkUM=muM8 zAc}RRCzd5Qv0N>!zA3f?K9bQqUUk72E-{PXYt8}l!OiHw=(*tQZizl0+>M<1;9GOu zhI8HPf{tV6uz{Bin+-R5!m;a%2wznQDUx|ns@ItI*3>tDd=VFCLTFOIYRnSx!K?@~ z3C$AmfvgC(=%!tM@*Bd6z8TAAtaNG(@svr`?4a;TtEZ<7{mp}>dMRo zZZ-IN-vKJ)1E4mn5EXwIxe@uOK!lwSvh80p?MM1`f$=5q@tTW+XV0O1yzX45O~UBY z1mmp_VmX#;_U_H|&MO_WLL=?d~;u#A(6Y*n;QG)Hnk;unp}{Jps`!^g$B|* zUFis#xE1b`t@`K03>#QF#kUBl#zpRBk^=K!m^$!QS7{2jTV zt>-KdsbxSGUT>8F|En~`maxEMlZ~yz#aD_48CXmrce9zPv427%?WA*lffgCq)8c$@ z6_}iz+i=o3S7>aFJ~+mv6X^2Lz}XRG<~^XFmR6&_`7;wbcJq~Y6xV>ugU_wQQd8b} zxpvo&EDXJDu<=GShPriJ)9!NP-3pj<>J8dtHuST`ubLSX1q z7^CZDGTyIm%GGtEN9tM1n{OpC8jkow0tZcVW|hL(kzUg~)>iCG#&D{up4O4s>6qPaN`e zYHRBfG}U`;Z#kenB(BR0M$TBY+-(V5t{=Xc&?gDi;T@SBbECQ%tpQCIv?-vq1eFHR zT3fc#z5_ctTbaXb*}d)4j9KYTH5o4liJpq3$7X2wErrucE2)q3!71Dw8QR^Vb$YwK zsqB2rnV7b^e#qqF^95=H{IrGKChl(uWLcVWHy&cR-^_`lk31cIF{_Wcz-RW#SsZ=j z@%qIqjxR7ivsZSwLj!*<)IOWu8pl>w$LmeMXzq#X!}qC}fR>x-ZKN7x8ptf=29WMH|I^TeRmTXq?I7Q|rh}{XS}m3*x<3n9%-)BRf{Kx6tv2 zm1_NB@BJJaI{i9Z?%S!FHdVJL^ogb7+0nAL@d>T1@09{tS6`32tq5=}L8XA!+On1Q zbz`WN+1Hn|W%ss^_YUn7o7nDHQQu@^DK)n)NZ!eF%1p&4GG8*M%+&J;nWyOr&p|eR z$hJLYC%Z0;O=SEyh<#{Jmp*9Sd9*%f$2todF-L9_I6GEiG+SqLA7`K8F`E4WWQ@i- z3pvnYpVXWF9ogrI_)OxX$#}!OS@R+;vpWekM3Tp#lSQ+c1$6Kx)Yxqa42PO``MI=C z+*bWyt&{mT+?L#i+hDEB&P|=3X>Js|oA=&t`o445pIK-#%wN(=o~I3wwEX~|Uc@-nvV zb;v$xiW-}k$^U;Ga!J`(D0Ij>%cMP{Y^o_7S^BP8GP2m!EqK-!wsaqx9WTlmGMu$j zvGS_J;((F$#xiMzE3U#o;+?u6LS9{ zH`1Cf6x*bfJ*V!Tq}AneY>RDiJBGRd+pY3jxZ53Ji*0;I*kXI&0UHkCQ=R=J7m)2O zYy~eVg`EXkv-eh64UrtS?dvQ)5EcgcJ~s6R-oH>ji*yV$j=WXSZVGtpdyn8fEHV#C zjCZz^9AVBj8iGoGth)h1-*8+IgNXsEuLYagUv; z{@ate0#;b$=LB?doodR{@k5tJ&{-t;~cKoFFt66WsY!%mX%-0vHUIWcb z7OtN%&@FNJx;YLD`Ar!wh+cV;>t8XgXv45I#*h&fYXP6tk|wN}6RD7oGeX)wwgorm z;A;-9Uw|b0G1`Lq*8^yF37`52qK$>P45!|~hRbkg>9-)me8AgcTUOBDli~L$#P4Rh zV_g3{PSegv3+7I;y?J8>K3R3~82*gL!g=Gs3SAZQt+{42thv!}wSob%O|WSVoP>_+ zUj$?Lvn-~`t>zmt@X4z4fB1Q?2)^b9)1`soz}2>jrvQ!6lh8D*)9!WG?(j#6z#lF6 zKeKSBJ&ax8ynyh0O=@3rZI8iubjv3hxa;#6oTC6)c>QZyjGGVcTsI#)>$;i7uQ=D8 ztp@lB&(r4zW?VPkFxr9L6$u+oA3i<@UpEs^3eKAOaap+OxD=do47rXQ*E4qtj_aCZ zF434D*JC{eXE%fsWvQSY*CQ?k=Z(5q`^UAfI@jr3NoS^^DLVd)!DYokZs~9oVVohI)o}kI>K>%X(yRdJDpwUV_$a)<$VOMtTHQ%#sN` zh1+f#VZg9Y>1(>8M+V2$Bd7u!o47G#9jS3ivy{K$RhNv;lAC}Gz4%3=o*`4ak&wb) zF1|a(_ZI2k*>aW2?8Sz4e^T9vqVoWO2e)W>X~Ks4gH%4kyXTZ0U(yLH=!YtQig$mQ z%A9ww^utv?Sl4^R%i#;Kj2m3cy>I`UMLR^-S5?nPedt%{`n4`S{f(~}3VijwUO9sv zSpb0K3wRDbvGYlHVbgUgpRD}r%CQ+7D_o9nyiND`2pqo*LI?zt-SiJ#NV z*oN=GaVq|HU2oOB)khnCsyfyV`jKIEZIiS0%{mesa=AZ8_k5m5AHKi`^fNZ_&PINZ za$*4-r@`>S8F;J?Wmp81Fu|$N6Qs~)>mp+o z1}C696`%^Q0omL@wzIoqyuXu|t8>V@q|c@x5e5bpFbVMpE08S$#0bxLc)A8T2042~ zKApRFGZO>I?>a!ti=xQW|5o?jJIoB?K+zZ==0lNnadR`zTm7AbfdeS)1H`Cd;Oy_~ nG{a>P3mZcfR9+B8yHlu}n`_X@6CZeAt;000000RIR80000007?M> z000000NM!v000000C)lHSv!msM-V*!BwKPoNcciW*3Rrdu9otfq}5R$NB_Vv!zc2{@x%-eTdU0q#m;P?&W zKiKcb`x?CK9$-K6U{C&wo_s&v*Wg|Ez&~l9WM6)QvHkVEyC37TZ6ZJ7)XB#@%SRW9 z*|~M^wp{i>F^ytI`Dh2dr<0>z&Ar~!$xgkE8e(qcUerk4Bv*re%o-u+Ri_aKMNaA^ zsFzLy4H{umWTTUvM(_1%(yK<0K{IQc@DVcGzx5%`5-beqp`KHl%=_EjySJo9H@~?> zlG``G60W`Q34`Pjlt`G>%PcX&EPQV6$a5hj(CY)KHj}bz0^nMa%x+vF5oa~X_6E{OBzIqkVG3)m`2^s2_mkP z#i-#23Am%)Q(P@qYJ#9;4w_JEmsIWtSPa0Q63fgtC)9kzSl=@3$Z6#K#D$%fke8#{~i?P6>%#tscdW@{^30+YK1x0~IW_r&kA%oopG`isr&Z*h(-U@@^{E+%%(Y|e8w z`^+hJ6}HKMdCX=zWV0`TvCPhW2DAmxm1tuLF}E2T3$t#@vqLfGLNb)ut}q*lhq(lv zO5m=L428o`VT<3X`0YwUP4UndbE?dY#r~bMXmSW_=bWXSITkkbjO;5jr-N;I0bnoB z=A6S~YcI3KZ>3saJyt>#GC5mp?Tf3}S7z~ABnd-)EH;H=B}r3a^%b@hzmZv%qhv5< zuCZhrhx`zB`h_CC5Ee6rY=)|VLg|{Jm`zS628l)nnQIse*DA%KqF9+Z@feDSs@+57 zECb@4bBIAakU`}tmp)8|_E?!E+o_mi$tz}TsMcw$YMVpExde{ljARNjX4+xOW*jRs zKOf2l6Q+`4%rywrUK0!3O7qgzF-n<9rnqWLUe(ebOERSfVafqQwVvCYS?DXjIfq!u zKW7iIt*|AXY6qLL-&j%)Md(oNN?p;cEX~;E++tB3-*&mj+d`)kzJFme`2h8~#OVNo zpBpF`KQ=LV+~CE;Q#xq#aw}cG7O-6dzNkFV=H*TeIKV6W8NQ#+F=$h_JDWA)RT6@D zBMU*ik%k})4>!v0TY2og6|r94ypQm=y#0KU>qea zgWgxbgFmjWoR0&ka?~l zFHwvWOdMle!WKwxG``Ma;b{)q0_hBw^W^0e$cx3x)ZmJT6o&DS)1a+fMnx#(8ZJ%} zgn|mB9cl;zZIBNJZKZ1hu`cv-ior((GFmSw2>BE)eI3Z>Vgk8bI$K=?Sm$C(=W=P^ z$|YK*y}EQ$?ZvIjU?8joYhvqSn!&A0FkaY3i$=MnI-|7D#bmSs_k{~>?H41!DX8L( z9JB>8837po1b}0V+?btdhE>(xw8cv>8l5FCr|^=yZZKR{xV1)iGIjCkn!5OOO=G%r z8J=`VbBjW>g-LH9N0>eW3mF+=+VVQw zF=YU%s;{) zABt$0_#&EiV)S(p4de)rbrDTft|RgZU>vkb7JvT3nTHha1#anOEux`&4fkIa5kEB3 zQ{u%J(Rg9h6$ZUE7+N?}TVEmL1rM$%6z%(huaNO_2rsWHWSY`dXf(azDl`~~tI%L} zTvwsdKwO1JmXL>(pEX@mC>V&ZkZA>1p$Ve1%L#zCvcn`wE$ie1&WvlxuAZ zn@!JMM^!IS^D~TVi-^Hw^a!_B82r(7gn@q>25^Yyp5gcB5ytK8!{l>`XD;~W&#{O& znRaqT91X-3(Jl~IMEleqxz~^C2zbT3nZHE_eC_NbJx&p z1aL)+hKnbnVd9DyO*>s8hjkGR#23*(d=YIv2y}u*;-H|i`rWcK5MLuh*VU*qz3XaZzeT+2;t#sYR_Xd085un<_}@=@ z`5fcn^!-o2{P^>i-~I6Le*gdg|NnRa5@2Hh0uUht#KG=<@jm{}jv=1@K!#^<$m!l) zM;JjW7#zSP0|OI~mH}dr(g4?>V4xybzmWLgkRVS#_jn*PC^9+HxQQ98oCT`sJdlQ& z@9P>I?C9$8KEA)C(+RqW{kbg!oy(2lU17t}f_?8;1TCVP#kZQ~@6CZio^9000000D}Sm000000G$B< z000000D=Pm000000C)lHS4&dEFbqu!44+|Guz@>p0ftqsQqm7I?X=J~!?GuXE$3hf zTQ>ZIo?^L5+NO;?-IS=~*m>5ARmoB0G)>cAYG*+Z{7}ECMvu4hM5B;?2L=hL|E9Ik ze^C#32N#lEYH`2EW4?fxmooYusmA@$V8*DX3GEBMCVmN}`Ovu?@_D*A=gGXYNuQo*F(_PI|= z{LjnxVN~MNdQLV;zF*rf*ns#Lzh@uc;DqkqC>HQ})pkhSqcwOi^#T`?V``ntc$f?( zSL1}Mln^%IkoZA1ZK~1Zt)NJ`AC7wa)T=`v+yEV}Va&k}E)h%)Yl5i*m_VS)p-M0$ z-|V0yNP`fri8a7wt_HYr)j%7DXn^ZW4a7x_%)jP8VA-bDfMv7doOZ;N5nFKM(Tvz4 z+9GU=Jd1T~v1@f=%tI`~=H!qjD;OPiyeb~Sllej)neW)Ld800`MXk2PA*TjMhm=4r z7eQut@yHB_-l}#^Yao)V^nB)nKL7v#|NnRa5@2Hh0(Kx40^(qIzj!~_5FgLrka$2}pyKI=eW<2fI6lxWY74zEN-C04wT2QYwX_)Y;!RAU?o9 z2x_`>RQdvt)eH;*V3L7B0!Z@%F_P*2F0K<+FJ8b2R^b6vrv{`!dJyXTLtKM=H|g(Y zVP#MNN|*pK2w*Do1Sz!Hy2zM?K^3S#0i+5DKnl5m*xB7N-rvc~)j4Ec(q~gHkQ4(8 zn1uM98ORm^VuZ~eo~}WTLCzkLPv`F4%)|f+E*&7|MN#DGf2(`%9cBh`plA#bqZ$DU Y7k@ush^Okjdd&tlVggVR00030|2S@uwEzGB literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.(5).cnf.hdb b/SLEA/db/Chronometre.(5).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..f2876452b2cd55771d9faec2634d218812327021 GIT binary patch literal 556 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXA+W}^v5kL^Y2%){9v!mn9Gn9Dg#z4$6PT8tS2Yb{ zz8WlhHHdd>!slJN(>}%CxV6jo?7LgD>~1+<%CbpyVfk)YDjj%mL$6JPjp{arKt+uT z*5wJJJx+`X0a6oRxGWOwj8mC4@rBzW+0MGE)Qb98>FDy6Q&rrudJgZH{l9+Wf7c7M zZN%d<6z}eq&$ztf1JJMk|5q>yB_uO22`I80Iry&bqusxAeTwt`Ikcr0oOpRJ)?LC; zOG4&=3Ny3tglUXP6D~1aUy!gsDSZEg9}gxd_}hQ4P%zdovb?mTQ*zB5)>WRo9*56- zFXiPcD=BZ)DD92kER&+d%*;L`C4oV6DpQjB@r3Ig75x6!6^<*U_n-K|@PEOC3V(a^ z`n-3gTmr?c3!)s@Iv6(QZ?Hb}_24@6CZdw!o0000004M|i000000E7Vm z0000001yQL000000C)lHm$`BjF%X8wHus%SyasEIf=u8L5_k+=VxJ5if`o*CoU@Ai z^6-B>>e-f7v&*;~x?NgHef{07W8}W?`$M%eUDy3p-)a(#^lYc=cJy~Hb2RP#$d3NE zdf0pXi0Gd@u%Uj?a7pA%S)Y>9!Q-c{+RMSNPjbHr{Ir=r8sQ0mBqMFIx8M(4d#5{` z6?{g2==Qy0_mVkpEBa^+I;8qqfqkrkFHMktUexs+_yS5)S+kTiTipz`mU+cHy0_6pGwLwct3=ztr;;f?qdK1M=}z^9Po6|mM)t0)d3G^-JMNnE0(1Ub|fsk zw0MXzd9|$xua>cNqNR$kY{|%FO6GPEi?MGbY?*(SBE6GmDZI%n_wS&M=0!Kl{r^}m z?xBq4Tx@LaVhkM#`u<>B{!&6;9xY;uQp)5qFPY1kPn8*&H`lLwoz$LF{#~t>g*j>$ z(H=ppv9PT5L@>SXXO$qaYuYtzH$I zyrISpSgAQq(d)YOGnl;;Q_;ne+!PE}q0vd@ir-thd|K!n24!GDt3N6k8<=YxMG^YDW%j|6VnsEds~4*mfE0RR7Z0TN(i00Iyp1jNDae(`>;AwHhL zA@Qz$AwiK1;eRq1K`Iy=z$60$6OaZeb#`%z4|aD9afNB9e52mN0anz5q?8|3slSWs zgw=}|aDr8MK-EbAX#o^<{vobGzMJ%Sv#>IV10_swD)a;?wAs4In1vw>s7L{-FbYU> z1F^HaW4ynUm#cHgx}?vhTwqxis2Y$*Kx`2pMtH`<(>2I3$k`+E>D;}WnHWHR*8yT) z6h)r?x4QS@6CZe|4l0000004f3i0000007?M> z0000000sj9000000C)kkQaet=KoB)Q2|7ABLMr54e@MC{ZX$)oR4F5bP|B1Xfg_|$ zNy!m7MvlM{5I^(Y+g;-&P-diAKhM0GeKU5`G))3KgMR_<%6SKk_k7}>UHONfhj$&o z>(M^Wg3zRgIIF8`zS!(YFH_}9l5)ih!i~~+go8FjAer#+Ml8nI2gF!}G@is_R9(gdztBB? z*Cm|T?Q0s{vw@-wistYaV0JMn4w~*c2IwKPhQGqO4*Vj_!$dg;2u*sxY+^GUG%;2V zC#QI>;bIg%=z1VDLx4U6Cc~6n#u{ThLcj{nk<=saGJZ?-1CP5LuAW+#biY^|4b1*Q z$uqE&L|WO8O6Xjm7z0LOZeB#UdPG`D-RjY26!w1)>j;iPywxMx`vY|Nii8*gC(%-w z3_|(oNQh?THb|lolMoH^7a`KX%C1Uw&=@BGmw8cM+}z%M0{{U3|9AlsU}FFR5FrG_ z!R~(XKK{;*A)fv~hG%fd>E2yO7(pr+9Ka+40~3&z0b-ES0N0>kpdwelkoe$`AWuK{ zcpx(z?=*+6y$S|dq4)eySktoZW#Jogq1-Yr~qU;00030 E|0%UZ@6CZio^9000000Dl4i000000G$B< z000000Dc1i000000C)lHS4&dEFbqw~p8>XT2QI*{%2i7GVWyoH+GbexWN;Ujuw}zP z=qZ+~q;1;R(@lvwj-6+{Sd|<_PSZ5~rFI?!!4LJDYV>$3r!)%bcW981`fpkr{TKCs zcW@!ur55*lJmw3Cc`2jsk!su@4Q7n${Be7t_%J;$W$VNIe#@B-^IL=DPA^zxd3dp_ zR!=J#-yrs_>(U^1eaIaja@&X8@*&4Q6vz;T&Z0Arj+d0j8pa&#;1a>)uqK!~fC&Vu9I6CE^34uP zf;0%>npgu|=4yZ|R}Hjbhz7X6)IeO+$oy;m1D0)S4OliS&S^(X8LXt6TGVPw9I|h4bVv#0auH;P z7mv(<=&foOv<4!%O3!CL_yYg{|NnRa5@2Hh0(Kx40^(qIzj!~_5FgLrka$2}pyKI=eW<2fI6lxWY74zEN-C04wT2QYwX_)Y;!RAU?o92x_`> zRQdvt)eH;*V3L7B0!Z@%F_P*2F0K<+FJ8b2R^b6vrv{`!dJyXTLtKM=H|g(YVP#MN zN|*pK2w*Do1Sz!Hy2zM?K^3S#0i+5DKnl5m*xB7N-rvc~)j4Ec(q~gHkQ4(8n1uM9 z8ORm^VuZ~eo~}WTLCzkLPv`F4%)|f+E*&7|MN#DGf2(`%9cBh`plA#bqZ$DU7k@us Uh^Okjdd&tlVggVR00030|61yVq5uE@ literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.(7).cnf.hdb b/SLEA/db/Chronometre.(7).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..f2876452b2cd55771d9faec2634d218812327021 GIT binary patch literal 556 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXA+W}^v5kL^Y2%){9v!mn9Gn9Dg#z4$6PT8tS2Yb{ zz8WlhHHdd>!slJN(>}%CxV6jo?7LgD>~1+<%CbpyVfk)YDjj%mL$6JPjp{arKt+uT z*5wJJJx+`X0a6oRxGWOwj8mC4@rBzW+0MGE)Qb98>FDy6Q&rrudJgZH{l9+Wf7c7M zZN%d<6z}eq&$ztf1JJMk|5q>yB_uO22`I80Iry&bqusxAeTwt`Ikcr0oOpRJ)?LC; zOG4&=3Ny3tglUXP6D~1aUy!gsDSZEg9}gxd_}hQ4P%zdovb?mTQ*zB5)>WRo9*56- zFXiPcD=BZ)DD92kER&+d%*;L`C4oV6DpQjB@r3Ig75x6!6^<*U_n-K|@PEOC3V(a^ z`n-3gTmr?c3!)s@Iv6(QZ?Hb}_24@6CZio^9000000D%Gk000000G$B< z000000DuDk000000C)lHS4&dEFbqu!44+}x!X3B(!zx!P?uVInTKdVb?8#uuIatD$ z4ga90M6QyyX=6_}CF(eKp7ml?auhj9lH`}#c@P9Y)NiZN+^FCgZnjDA3>ravCc8P)ld_Ehm|58RLGJpHJ3i#L54q(-Ha_GVA9B-`j9oIafewReyM)=!k!H4YsG02? zYi2tKo7u+8=g#5gwVmV5NpToYwe1*F>gC)k>a@B;R))gIK#g%_{j8+%*kqy~e;K9^OTu4r-b*{`X9!#$% zF;^)eY{DV&gKXMXqsLo8k#avA_YSF7hd{UiI$XnK2Rpb#FgdIVrVd~Nfhvb8!H|5@ zgOVT(LbxW@0GGKM;L244Z5W~ft}iuUiW;eZv;Tl)n_2^w&5Lu|5i>??!Hq{VVvA^t zur2Z|*0IH|)iIcdScJ{VAx&1ublCB#cmz-83w@M*$5zc}>hfCDYAYOqS6Boofm|+v z%<$ro84$g7?Sj@oB-iQr%m;q}00960cmWb%V*mnnAQl4RV0XWGKi3c+&)|@FSHF;; z$cFGg8H^wm3=UwDfq@A~gOobEIK>CMJBGNzG*rG(Z{Ywd>OoQ}g`(8i-!~vWz&{9T zx^qHaRR6IL%?zzJ620ad34q(OQR>ik1ogM2sX?`C0T zPykAp05J$)D)a;?wAs4In1w+Vs6YXv3J5?7xq;Z(-7((Z$;;I_WL?r{Q!bDc0}Ggh z_?;QZ76D>}%^sevL5@Mr9+6My?%mA9017T0Am&9;b!c*1~y^>P!RwC0RR8_UyO(V literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.(8).cnf.hdb b/SLEA/db/Chronometre.(8).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..f2876452b2cd55771d9faec2634d218812327021 GIT binary patch literal 556 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXA+W}^v5kL^Y2%){9v!mn9Gn9Dg#z4$6PT8tS2Yb{ zz8WlhHHdd>!slJN(>}%CxV6jo?7LgD>~1+<%CbpyVfk)YDjj%mL$6JPjp{arKt+uT z*5wJJJx+`X0a6oRxGWOwj8mC4@rBzW+0MGE)Qb98>FDy6Q&rrudJgZH{l9+Wf7c7M zZN%d<6z}eq&$ztf1JJMk|5q>yB_uO22`I80Iry&bqusxAeTwt`Ikcr0oOpRJ)?LC; zOG4&=3Ny3tglUXP6D~1aUy!gsDSZEg9}gxd_}hQ4P%zdovb?mTQ*zB5)>WRo9*56- zFXiPcD=BZ)DD92kER&+d%*;L`C4oV6DpQjB@r3Ig75x6!6^<*U_n-K|@PEOC3V(a^ z`n-3gTmr?c3!)s@Iv6(QZ?Hb}_24@6CZio^9000000Dl4i000000G$B< z000000Dc1i000000C)lHS4&dEFbqw~p8>XT2QI*{%2i7GVWyoH+GbexWN;Ujuw}zP z=qZ+~q;1;R(@lvwj-6+{Sd|<_PSZ5~rFI?!!4LJDYV>$3r!)%bcW981`fpkr{TKCs zcW@!ur55*lJmw3Cc`2jsk!su@4Q7n${Be7t_%J;$W$VNIe#@B-^IL=DPA^zxd3dp_ zR!=J#-yrs_>(U^1eaIaja@&X8@*&4Q6vz;T&Z0Arj+d0j8pa&#;1a>)uqK!~fC&Vu9I6CE^34uP zf;0%>npgu|=4yZ|R}Hjbhz7X6)IeO+$oy;m1D0)S4OliS&S^(X8LXt6TGVPw9I|h4bVv#0auH;P z7mv(<=&foOv<4!%O3!CL_yYg{|NnRa5@2Hh0(Kx40^(qIzj!~_5FgLrka$2}pyKI=eW<2fI6lxWY74zEN-C04wT2QYwX_)Y;!RAU?o92x_`> zRQdvt)eH;*V3L7B0!Z@%F_P*2F0K<+FJ8b2R^b6vrv{`!dJyXTLtKM=H|g(YVP#MN zN|*pK2w*Do1Sz!Hy2zM?K^3S#0i+5DKnl5m*xB7N-rvc~)j4Ec(q~gHkQ4(8n1uM9 z8ORm^VuZ~eo~}WTLCzkLPv`F4%)|f+E*&7|MN#DGf2(`%9cBh`plA#bqZ$DU7k@us Uh^Okjdd&tlVggVR00030|61yVq5uE@ literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.(9).cnf.hdb b/SLEA/db/Chronometre.(9).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..f2876452b2cd55771d9faec2634d218812327021 GIT binary patch literal 556 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXA+W}^v5kL^Y2%){9v!mn9Gn9Dg#z4$6PT8tS2Yb{ zz8WlhHHdd>!slJN(>}%CxV6jo?7LgD>~1+<%CbpyVfk)YDjj%mL$6JPjp{arKt+uT z*5wJJJx+`X0a6oRxGWOwj8mC4@rBzW+0MGE)Qb98>FDy6Q&rrudJgZH{l9+Wf7c7M zZN%d<6z}eq&$ztf1JJMk|5q>yB_uO22`I80Iry&bqusxAeTwt`Ikcr0oOpRJ)?LC; zOG4&=3Ny3tglUXP6D~1aUy!gsDSZEg9}gxd_}hQ4P%zdovb?mTQ*zB5)>WRo9*56- zFXiPcD=BZ)DD92kER&+d%*;L`C4oV6DpQjB@r3Ig75x6!6^<*U_n-K|@PEOC3V(a^ z`n-3gTmr?c3!)s@Iv6(QZ?Hb}_24 + + + + diff --git a/SLEA/db/Chronometre.cmp.cdb b/SLEA/db/Chronometre.cmp.cdb new file mode 100644 index 0000000000000000000000000000000000000000..d37a00f4b6ca6236acfb8105227007912a731871 GIT binary patch literal 15347 zcmXYYbyQrx^EMQR0>z6hP~3~_vc=tsdvPePMHVPtyjXF!;_mM5?(VSI!s5LBe1GrB zACr@0CNoK%=iEE@1{)3zt{ej%&i-Ek{i|!5JG)pr*i#CyeWnz1v$i#(aZHsIj?2>egLDI)%# zyb=08=>dP1fzm`HSwCpWF8g~AkE`YkUFNGOq9_h2!aIsj^7x4QPDqlf?^-;aqLDT@uzw<^0%dgadMuvdw;&>3dCr@E3Kv#87x2uP5;2*wnr#~nXf z6*5C9{Az?!PiwXks*eLQh;SyQC2Y3m76-6|sACp2PFMcc&;BVAZ3%FImR)Ec>vZai zY)Q$R653ifY4Q$R+9^VCqtt{^F1 z*N3#dX)?B^khj{z@ybigVPZ3J3tK9c-{fFY`C6QUJ7M^&yP(N^l^eLWPCC!u=D81| zS!NA~j}zR0H%8yah^%*RIRX?=@xe9NPaWRPFAHYaY^3MJB+%)Y%p&9agqcoyIz4ZY z*L9+E8)laC2jESojT!cXj=$n-VOd3YXPJ-c^dLPF_9L|)$dhix@cQa2$e~sAk;-BA z`I5VB0zD*}jtO&@9~ znj5CeA9Y(N$iJWGYM{<}iI|)(WO2P$2KC1}PUaV01EOq_&|B}EET{OVEm;~a55w0s zftT+a`}YM+Us}(8vR@T zc6oRv-j|pn-7BI)xi;RUPp>pC%^Uov4ED1+yoo+UH&L6gv1z;-KLlR;soy``r>>WA z1D^#Zwa+YF%ecMf&L7{7Q)s;orRO<0Zh8m0BRxBggKcva(6!+`)&4jjM$>up9x1MosE9RyfU0*|&Qw|r!H2RDEF z72LN~&Sl-D%_)&~v}uS7!El!l*M1bY%lh#oHsp}631d>T17l?GHdMG{KK2-SNbL`f zE}%k}jjpf9`k!%9L{iQ~pPVrtCp3iY_8R%>TtwiMt?csd>fP+1v3_ARAm=mU%F#PI zzf&TRFVRE7%eH_4H>>a3-@9Qz42Z_(m$)=02ViM621O)tZRv&@^G;YeYVcXuCa9vo z_!(mjyXY!-Qw;N3IN$vsaG3fjnzI91|1iuW>mZ5vG^qRHqV4HU1WGgNBxtuD5daM= z9SRm{lZH^}{!;&l?d2oUrjhVEzn2x6MxnfFim+MV?R$^rOl#ojG3c`Pwy9dd;#!e1snLw9FmlH;k2}^G$jn5RZZ}=); zxZ{C%pxGaI^VX)m1{^^hV&%uDgD#;mrE^5y6E4sjOB}`B^_bV~+*^!c>s_>$;%O9> z9G4;f{mu1ZY#?ZpZskom9^;g$Gx}BOh(0LhrJ@jAg7}-4}%5}!`tNz0U)!}mSP94&f3&x(R`WWz9jms zaK=yfd(rqL(Fx6gVq1xy?sJK_>G&zN3_QMIpZ&?g#vZoamG<+9hn%04muI_y74>rZ z;mY3lB)+vx?x2uc-2*qFSA*YcnQOyU!>i&movyEk=W;XetA5Np;Pou*HUDZV0^bXy zXwPmGZT=b3^!XhP*C_yh-KSQOU%>jj$po{w9Of9zl^5222D5sw&-Wc(oG*FX4v){iSJ}Ae^mC*)_ovAfd9%gcibrBdI!i(}Lxpyd) zlV_-%cqU{M{X%az@TK3Gz*FCxXE0=ZwxaiOXTyUI2KXuwr%Y-YY$X&TM${Dbg;x{3 zMgf>C*t@W;WHQ z5L#9*HU^dfPo*%-a^*1R1mK89<9$`fo;0>0Sdwi>S6FM;=LaK@x~l5Flg>l5FH+Ee z+0P?0-_(I(Tc+{1BZzVc=erJ@O1JbI%TZ#0J+ULv`I^>uiAlx`obFREVdgHO{s_rK z^=Sbis6;Az$wf+zlo2kt5q}~K&C7?u-&eG$wfGLW|MYIoVoalC9fxuqq9iWQr7;6m zNq0vF#vVwPuuhq#(VL|yBavmC2H{$3=WGPHqM(m#kXw z+@85sjhDxz5aUyQi?b(GH$r9VNc0#ahJF_{nxo!bk8_gTzGm5B79}xzZgAXW9zvPJ z-FzG{DMfu$72SQ1Y{by~_EBV~t;GIxR7MX*J-VAyfm7ROdyt^J_`1pmLn`Bk3^9t%luDU>k#Duz^AuADOo> zf~9fiJlq5$P+MxV^?r>|wL}B1)rO%=q9zJw?w;Sxg=0~?uegumaHDT6|K53EqdZnH z>q^9iUmTQd&mIG`Fe4u;`(R|E*zeU_*0U@d{4bsoVP7)|9JjoKtCnClXK2ddguAS} zhY=Ub{b2$ha{6qZ!v$~|zop3|1vjuI5)jGhAjLN5lGa*L$yB{N=jflueH|+uMWA)n z{aP2BLg0N27ffCKA`oZaPXaX&1cS%K%y(q+Be?JdUTKdW0!$vx18)6mytNfn4hl--zEEU-K5k&H58<7uW-Czp z`bEz1IW=2v-qAmk>gWJC<$|bTLxs416`8XPnfQWsJP~*BrV?dvS^-b8_W^pOa_YKt zA(=9X?+Th1sn8#vn57?cr@&udP1ubT7qp_FLcJ=uhF+j~RZ^}pgQNxI23K>l)X%;4 zW#AQ+GCm(yVYz$9I+HE$N<2BvSE=-0;fr1nnkA7WSl09jTBs1v(kZn7C1BBUytVO9 zIPUMYn6xx^eD~NyFNfr?^5g6Ci9Ce?QY>F4i4f%?w>feZSzcVw%=J5{j-msemAmjL z$Iw5W9U^XL(tL%3EuqERTMT1H%lt@xe*H;;AD!+TbN2;CkzWd-@9cvox0xWeg_K%ZBMTPj1J6-{P0RjkeA{K~2%0oCxo6wJIbL&9Tliy#_4r z;<#1rBds>`BK3vW3HS@F5bn+eIfxCow?1Qcd1YnCVhuU+8>-x&m;JHg<33D(hf3W$ zfst~IqqcWxU*D+?q<*i9QgZi7f|CE@o=ZS`>^}PxRh`Cyt(7TDp>th@XO;WjD)cYU z1RNv?l#yMFfNxFo0iWqoYNawM;RskzTeV_KR-w?2U$GIO} zS{O{ba3vlFX=am_G=zF^x}UYiM+S@(h0DLoU-bz*5mRD3dD@w>_zb~%{ZwZCZv_v~ zADx`KUY+Xvoe!Nor+5sdJMLMjJq!LG6|wf=t=i@?YgSy)thQ0tMja8*Db`VF-bYpy z(ptNt0k@y5MPVA}oQY#2LqEjlE7a_a62-p0gPC0Qk9IOJT|rQ-?})He>Fyxz$D}Pm zF;;1_2~D79~^9gLyQj%Hxe#_j?$q&N-x54dzqTdvr$~>=c`C--Ls}pj-J%1JHV>H_tmAw-RI^< z=eTy>8CvJU_$eyfIG8!!-3l**xMZ z{m1V*5x6q5uOZ}Q{D}d*gK|3Ee<3xdu5ieqdGirXBzfX}kv3zQ0fe+D_x4o!pW0;c z?ZjzPiLcP_YZgP46x0OE2A3W@5^<1?+MKvmQ}lK{dwS7s%i=r^+|W+r+2el(ZogQ=?vRZr^u;O zj|tfqU(a#&>*W|STMc^3v!77IY3CGm^3-OCBzUD)1>gG>4Z51sykn2XilrXdL5)y3 zU+U3`_=ZFlJ;c97(6{)#5bE9h zYDNBCdXv6Pa1D`&$`m?oMR-YcMN3|IJ7U(Nf!6)~N-N^3lsVYBF*AD+Hf5M6rFTQS zrS|*NdA>Zn-5xKwDb4gNRoR(`UeHuLO?~fdN^gIn)%0=pu6sb{H1(jS_>hy>8Li+= zk2O%!T+vckh=UI$D^bJv(%lPTbMVRYKF#@Q3{NTT(>~Go#Z+Q**Wv zE7iLooK;iQl|#W5l?T}<^aMkChL-+61%i>3m&t{$Qwd9o?_>B^%ztcTlTbsebYs3o zFHsOAJa~3q_rpIX54`J0&yge(xoT8IDyQ6Dc2J$qQ@#W=ejB-IsSTdgu2Op|mxIXd z_=Jz_jKztNg;qZXNAuPscaoEDkt|*!znJd0n#k&PO+@!Z;b`43*L6AZiFWGric4E? z`nl$&CDo!@oU+heJe z!_yjVr2!FJ237$Ehu#lnAOf31ckjd{ejQdJlQSOK6+~P0 zuK)b-wWkCHvzsGI(qF?8Eo=k91wEU8%B1sgP690++)*)`SCeu3Mz@93!~_z29I!$ZF~UFfO3 z9U9Q&kJoXay%;@E`yZDIJ$g9h8rZT~S?$zkoQSF5pPvSNdtFGM_1~u)V=V~+E2%3RI-KPp7W5{mH zh90XEg?DVcKPY#&#{RmG$pg4^LViXXPet8^3DJfdz5V$hzd)LO>w7A=u&N}F54(?+ z2K!*2nqpQfUExSxFjCB!27gW2w;XOJu4{>0-O-kRU0=R}7{oGt6KJv4+gs=|%5I`;m+ZogD z-4!mDwruBTUz^%(>nYr`wB0!N9UPa~_3bY8sr2UYN7#pNw>M>wYrk8lRzGqhV2QkL zmq^03M9O1f%==g%JVWO`SU&<$b9GR410BT}n*Xk2(CR+VM+qi-PA_cVjMYXYqoiUh zevj-IJE6?9SFY)%u;{rKT2JlZ%wi}wKk72+pg(&%3!Y6N)t`N-FQoRVOj3ZgH}s3p zZO`zmE8i%}FQh`c^U4-$ZKCUb33L%`sPN5)Jl!sq3cc72=(|d?FJ*p&CxdXy86L7_ z*XMBG=k&3xe0LYQ1~`lndsUY7Fvivoo|NS(%ovu^>yToS6#wQfu73Sry!=AxL;rH-Pi%UC z<*`WLG%UvGD8tM5^M$dyQ9Xi99GuhHzzCmJEoKk0u)wRN{_&ZHxq0Foa@zOatZ~WM zE@5TVz5W5xV~IE4enzO*o>5kLWoGOA(e95h@E~$2$Y?hwLqMwLVUVYe!FrIFMh?2T z^Kom8!>r~Z^tmLY@s3eeYSxG}vKmpz&vr=)1q}3K+i%^xovm44!G63Cxtd1Pl9@7P zFQL|C;!i%|>SA)55F}TTQWJcIHvL5dNQ+gYRXDe@44Y(R)ztQbNXuVcy4fZ9 z&ok3%8x@%#*Ue%skZU)H)+R^Z*|OxCyh=0Io_3K@bg7Uk8_8_Xcx)Hx+%l8Cl^tJ$ z{Ob>F*8`kEv2UF0azSSCGb3RfG0_7;H|d@d1QmNT!unjFF|8%49yns?=Qo8N@Jlj{ z-TpWo;4F%LbG8P=_sEUy#+MIwjb-_?8o=V|+O}szE&rymN0{a?3FITQqu(zmSo9*9|r}*?ON=yjVn6c8J zHXjTP5H!hKNFgXb3um#-=!HG$XV@ltKHJuM+WT*e&`CFl*39JJ+0Qvtnf#?DWBQXg zGlU#mviIB3tXr(c**V|1HgE9UAma&^h};@mmQH5vh?C1Xnj4h>Wk>uJ8f0f1R7MuT zEfrd`DWiCNl=9V*qk7TEnp9T%uN)Sd_1VhE@Nc>hk~4AMRfRh4UT==H0rGsIG}?G6 zFQSq-@g834B@1oqPek?_+g39Qb#`%|a*hu9GA0~o`^mNy)gbhk>N1)pX;*fw zsDl*5CaXwV_FRm$eP6as{)Au-hA1yj3M;#NhIch@TiY9Lqm1@c7Y*I^B}*%V1Owd* z1T3{E%m6BOc9*ZGGVhHu*+&7&QJzpiNS9*kB5mvIWbz@Q=VY;R7_5xRhkn|-?QpCU zc0@2SA&rtesHwzEZ{d8LSPW5xWJ>vG|Lz}(Zzc$8E9kBc^?qqZPNrYG3(rr)GtDHB zaC)E`Gi@>3&mQLSy_k-;Z~L;8!qX*8M*Ar}ahER!0Qqq{;|FVc!#MDqgu5}@<%MTn zd?UWmr(SRLlN-Ac^qg82_lQ|*WyDO?hhE{8g-N|F_3pJOd7c*a30D%&2rGJ_@eT=Z zaNjgK8v}g&OSpC!IVS1xy+f`ti{^wo31J$@$%9yo<>|>&Z6R%k3siKA;M7q2jlk5Q z_nG>kBi_8E-vHhpO!xbeEh~p_0bHReLnj?)4w>UYUUdhTI0$3CwL)?Ke4RwPPG7&Z zvP>Qh#=>Nb0Rs9#Rkw~6$BR#nW(9~Z1&FoMc+TnnPVds}QpW%&E|--S^(kSK`iYm~ z{fpb%)eaz;^nrZcW7r%+l`a$}6ZBQYukP@>;x3Y}npnh|Fm=W};(Q~AAbs;PWV|3+PqiUEh#_aSuyblV0P(Aph8NDcs5fpmz*xdyo#`P!K=O4D?iouk#C^R0ApS&|} zKMZpeE^&x*jdA-ANCCg)FRCr6G)(|mKfNpL7GJ;u;CSA)T`I0yAl6NKvwhrv~ZHC8<7tq@b);j||2@_?;LL1Ur;jI>zENAP>;0S=>86Mub-x}Kiq*bF-=i#7oVLy~&G`LGNNkG^3;z{_K8n2W ze=T;sPpX}BBV7SY$|O9Y#5U$>+#4c>f~^p~-l8Brcc}aa@(p_)>t{9;CYf&z#JbBg zQAvGIQLfN4Mmsp zamxNhhdc9A`ah6&Uz-7pQ@F!NgFnWLe^rxFZ^}-8Z@r^Ow5AlzkjAZOIA#@BW*bLm zqR!W=38QTohn+8o&^{|Yu;;VG-sqzE33@0)gMc#X{{~sKxiEb`z8*tNQ6yO|{%;a( z3q0nueE4N~eD6ij(`pD`m8avl-Ys2}i5%4j0h;{ksMf@IDok+8`tpcc|6;!NwMm-1 zW`k@z{@?Q@OeyPztE!V!pG_O{*IJrb**q-{bpL+=ayT@qRo5t{7JjtNllF@*T#jE> zd=@(}GEAn6^1?5PLx@~<;H{pSVC|w>#&QGCY$cwx$sGP+S{z_MyZ7*90Dw;>VQ`>>K)l+~vUIz7og8 z$nJ_#SrT_+ntVE)W$vO#)4np1&@43xu9p+VB+Gx3?6FHk=ua?4cxeWls`)Z)t+pj> z@GcGQ_SfHQiVmLenI;acxud+ ziA0ELhT6Yc!?c4)uunu(LdpN!H@VuA3ADQ(xRF>wo*vf=Bsn&{CstZ(Qk>Zuw;%=e zQvI^~{l|ux-qQSAKL20Z6z<+g^>}VYchSIYyI#@r_OHo4(X!Ou1pi~;KD4;R_+7rW z;cN1{hcMq=Z%LI-%^C9P&uh!h9h0YxKTro(f7&EDdLcJ5I>j&XYD1~#i4YiKb^d7U zUgNp>%lVHP`!^?G^eq9wjuPJpycaeeRo+!({rE`G+EWu%OHDLjNUhde>)xS^H(58D z`M6v$wVxb>FlvC%JM?uY@$8$PJ9jFR|2~VVO$5z=jq3|=pM_)hSWu|jcpQj?#t*Ph zjSlA7t00I1Cg52Us0g!aY}b`@qVdnEsWl=0q5mdE!P9gRSfhcS>=5b3ulPU({zRYM zdxV%tR!Am!l5eOKUx2qc{7ZOLxgm%F=JM$$?nt?Ko8~*V?E{-GmGCs__c!`ckE|Y2 zDcNn_CEZsx{+ss#E1y4G%F^~`@0vO931Qr+vpZ+7l%_@_N*S~w4r-{8m*P&z?h;E6 zWw5SWge!e2e-~b>Mxf*yo(9$oNTdJe>B^CAn6WZ2&aW-NLJy8d;CRd^8X+DKOi)RQ zT>kd;x*hI6LqbY1aAnQUn*UZzr1J**`{_{M-ot8ZJS&{jw+1y23LzMYyh=nj90{2m1K^Wc` zOlKO`Rhnc9*X>+GH|#}1t=(#-tMq5^;0Kn89Si-aX{GB)fxM}J4&Z6Qy;n&S+FWNa ziVqfxylqvZ3%iYz)Xj_8-rHwPJt8h!`{pDRcxqp(*hfhRj-fF5`VQh52+yL7rs>i2 z=w=wZGj!78o4coN;?Jp5*3TML6>nDAFBiR!G@0}bIEb|ALlRKwW;Ls8)`PWvsWPm6 znskPMZF5@5(#|wiy-b`%>GD)EL%ZjT1!~Xj8+y%X)uY{tDSO7MPGX z687Jn5q__FJr`9Q$|IX&-`x@>|J%Ld!zsO)O7md(>ZF5qqv8f{^h5Z8fb&6*>~GnR ztZoeuEt#VxBoHJA(QVdFn9S4RuZTgma0;0x-(SGh_Pw~}yh%X$_lvh*igNMg)X__) zr9}edjpMG|!wj)({|gXCwy|5yXYvUPNH?qHsdWe{rM}pOdMTlo8oQtM&Z&s~NF&z{ zB%ZO*z+v5G^4O}R+j7tCbyI+f&=_!G!$P8P9lajb1{kv*!t{AJpqX4k4c*pRs`m=- z>1B^`)7hM=_siKDJban}rAlSk8o7-b7CEyCu0~kkw)9t4FE^$C4kVr@Tvx1m;_r4l zMZS#pt>a#}RZw7W!Crep3BYSI+RgPuA^TRdeCY^XX`N3qo$i`D7A9MQShQSg3XGin zi?8<1ejyJLK|Ay3@)(bBZ?~{Jxp(6^|4pV{I@9;tQX(5Utq)$6oxU1bJj{mPB@M9a z&&CAbc)RiY1t*oS_A6Tqaq}5X^g6t6qD-sx5=?XX!)<<9wT)7dnp<-UTz5!Wpg3hI zM7PDOZo=n4{$WjkVOLilyq*>k%hx zOLG{T*ynSN6NB-X& zdxG+fE4=~VEtOWq54c+K*Z@J98V~g~|P}3XXbcJY_ zf-IVenSYKMAiezOGVf&XaQZ_d&*RCzHv4Okjm8G~7Ln~KfHR!iHy?$neT0;OYAj4qiQfRD~ZR9oG8N)v&Tv;hiK#8my{9 z{=>K7G9bmua4Fa>8s^M|Z^mAT`eJO^bq;%DA z=7IY3Tqo3}*l{uQz#!ms^Q$+rH4>RYb<%-@8>d!hhHc(M6U&=SM&TiZ#V^vf#$`T4 zibA!cV)6QUVgs8w^<;LyN5^hV)0*nxs6E8-vh}MedlvK>Kdlo zCaCJV*WOvNT=S@?^^3O?`?8NA91U!-o@sI^O9^XbTTl{-?0lChOR7oR%6pYid!u zyRJc+EDRwGI@`rHde_>NYyI(C?A&a4o|IrlEAtrqn&m)ru?y=;)8C=WaLmCM3sn=+ z*deT~*UX%zP0l)qQ5@thhDgE-4rXw&rpGerV1iln#F}gQ=5G8M2E*!qfr+2`{&GE3 zY?5&Q38@X)nP3;ZS}_XNS5M-pe9G8b^T}Z%@QlYK)*Jk#9iLHRMwU? zum)c~4j;SQln@Z0bXenmZ+Kg4|Bg`GfBj52=D2nkzTptE@Dk_-(Urmw9TJ(iQDg2c zUvYiDR#|(%NyH8W;I+`p6MVT>PRjWOLVjBhFU&?#{1f+$F6+Zf zZey#8DSQa6tXQsxHt%*H5Y7a8_xdoo)K&ggJ!4fKL>SSt_&6#c&6$~y@96A2cvBSK z`kg0LX#&V21yfI6`uamZZ*B5#Qior+fM-`RqTmKe#V=Se_}C1rR%m8+rNJZ)Yo!~ zb@9egBwd7*3p6JBC2!^g6&07KM-z`P_S!_FX_8drKGn~FtIO!&&BkUj_2d_<+gsTG za&Uh4cV!;mjG|N+2i0#yS1ic8piP4{Y5s^{7|EY80C2}cPq`h46a{`9ZM$~r+*q{~ z;c~p+v8pIK19mq*hB?MOrq-M?3BVr8Thkx(K8SxqwqM&{;U4@JS z6Ey`oDVfONXw9r3m1Xpo0^^}P3Acl^!H3zIG~p=R5V9-8F9|M~({t=7L_gDk7jfy& zd1*`p^XA9jru1bgAZchN1f){L;@HwR_)$V{f_ZY1ZaR4nIkES6w8g}!qyOu2(Xoy% z^b^DSc)UXQ&UvHY4Dc#?b2H9e6mf9CC7$ipmA^NsteOFc~yr;?5~yDfSP9Mu!#u0chYzsawbHD;IZ|d5{&IPD?c+Aj!W_aJpSIZ4j~3~3i9gSR}T8=Kr>E@`t{XEyq>ZKb>4 z@r$#R*)&-FY~wAJY-I$WG#^>O$=w5@fc>vx1M#cv514+{iJ;f7lUrKk>=J} zE%)M2J72deUYahLAzJgzwb(fBN83%xc(6qc^+!j)f~pzP4``sNnhqt{P2WqL;1BYc zpX6Trkjf7*KH}gbd5v=SYjD&NHqq%Hl4(Du3K!+4%Je7y@L^J|=f|Ut#45t#&u$v` zsk1&jPH(;$t!424?7M;_*7!>QcD=|%O|Rk>lK$XsX;Z2_(Mr3Zz}5F3npBKAKA6_n z5$SD|e0aaZt*g8?Sz?8r_uCe!pvE^ZZ1Pe~(FlKhT$~jQt)?$Mb!^Sn*@3*l7dLg} zrwA3HtPJU#^u82@Q?UgUOB=T{WI&7-mkd-F+xwFY*_1c3b7w+Z16F?5-qSdBmQ5Ih zhAP&2XgINl>_qEf9u9->U}x45f8yvMHouy9tVb?rk#-!OTrg^hM zxRH2f%)X=G5oeUP7$lEyqagOk#CK%ms*!9-ENQVPW$Zk2?Ndu3tqe+L*-5(weAZ7aC8y=Cf9G?v#Kl*WrDqd;EKPons~@yV~*-du@D8~+pKP$T~>qH zx3JaW2j8U>>+5F>f2GfNqy6Y{_M^_2DeJrJVrmD*iM;|j`p$zjQ==So%Tk!?-UGU7 zQs}6u{_2APXp#%YlJB`$ty{F6BYNobg)WXTRvbm7uVEslyvyk~M?E?(0~b5PorvG$ z(NQ=aZEKOzEdK-+Z+wEs%nHa#jj|rPy>*XeCs+^|>}9*`SMIDRORH9ObOA;JemumW z51!2w4i1M+5UDk1H*L-SdCl(*x$sT|71>^b!AbM7fh*`aN&H&}UT|tm(H}rZ-%me6 zt$Nk^$lG-V&bz#hSR=T?v0k5v&B>*Zy_!K;xo~ix9c1!s+2-HEI=oCTo<0KUCBc6t z#LQEiAEM=a(L4FjwfHFf4WkmP>8%)T3p=KqK-p7C(o%-T_ky{zbIG zJ=<9X;f3Fu^_M)r*t37?r#dXS&yVWqi!XXbuD%rv`t-~? z5={*~(6tzMN}O5s-gy1Ae2PlXJkFu6&4GHf@AZVNd_#Gd5^#h!*4=4q45#FBdc{I*q|7!;2Omc8WVLAL+TDY=0CwTw`pv0HDtg zb?bnBU&2slkhb6h{OjPxGIZ$N@(Npbjf(V*j2#XCAXKLD5yN9?5~8dSyOwn^AAqq@ z8lS;xxbLN{FJvSf2K(_>LHPS!t13FG;ZTLK!RL-c>QSK zt4#{wC*YKEQd5L)`_QY5>JUH5@y)5(aU<8p>fkyfa{*~!_mlaaZBOkJ134h*eugmA zLJ|;cXo2b;NXWQoYA*2Et1NF!nA30K)}u3BdmRJF01;w8e+|6qdaJV~x*Wgdd7G^^ zZ7SmE5XkH&(o@cn{61^SIxQaaFTLRF<;b)!uy{Jnu5{q_K0LlL+;Wc6_rNQ;gIV4e z+;8 z*$O|>p_4zTV@ovDTeQ_^=%wp!_ui!2H?HaxCuQsggIPLR$zG8uk0FL4xbSy7_3 zYwlc2T|jz95pvMg=HIx+z_2ndB9_#J-8|tOeT*_oDFW< zTq3!`J7iTw0C-KlOvjwA3l_j^IM3|yuggOSDD%i*(h|V(LgqhgCl65DE=}Lu* zDjT;9{4id3l5f23@Wx;)_|6adRzbcdc43S59ypA9#G(AH?^XRp&dZ$wo6Vhr^k7%i zs9WDwcy9csuSl(RzR?v>r!W=eCbESTnED>IY!EmwFeXdK7T$TQ>V~ z#@7Vi-iWCak&zR35Cinx(unL~x8Il^U#`v{J8nt3m0=g>gG71%oo8(=W21yJ-zyq|4DG9zs z(?7F;wMo6mN5(MICp02aXCGF`%ERk2!qJP8>r(Bw5_APx<$~)G=L}@ACrdf{=*yOs z@pjzM`Ez0j?qMTt1>2#!)+g6QiJ#PDJjgx`a8JM?6Vr_Tk4K+9F7$MW9Jqk#gax&t}|BiV0oQJqD2vS$8h8vwR*H{Jkb+rG|N5J71g za9}y126YDsr~3th66!o_7t9?=9?c!@N-}Fp>%;UT`$D~f!9~N$?B`0^xd@C%U zoOT#pmJZY=7AGoy#iutnZqwcwQ;e?kXA)^E#XK2xqw~z)DK>+l8t9vFyODlrOGTlX z=cbLCLYyYzf(KyseigLPYO7uZw1;22=`pJ}!TKF;=9YPl{*Jew8&!>t7=t}K%13VX zE}wag1_ag_Ucc)92gDf&o5>JvaDJZC|(zg?j8BarXt5&Wo|E zG-tnJb;r%26!*W!)QgJ~#3D3npu6rT>od~Kn=+QQaXdEnKW_={)lUr1Tl(9}H<{@U zarF1_R|OP+$BK%xN#vChjQ~{iQon+gD==E-YVQL!$)P@+Iz_ zS(`>95b}N83o%xSOIl6On3nPx77Zb(nkBwI^1y<|%YXd({p_wS) z=0W}JV0IXWT)zdvy?q9>T^y?=2H3TWw|7xU)Gw1;mr`EPtjO5=sSB5e_jWtpXI1-LnVzuX78s>~8A*u^8QR0kpJC%CBB)14uT5@|NI%`kLJ}v;ayAfH zmL>fH{RVIfL2u{nu)!WqdO@)CJD7aAe?0871E0T=FU#0HI&W|h`ZNy)8Io?gh0Z0_ z2i}6ULG?}31B(j=X4Lh^qaMTKdWV(mouX~mPqW}xUE%tt;Gy~qc4$@Y_WsrKP5+x6 zp#I9|=C`|rfsm+YWl+{r;*~joyd+YY+SJ}Mg0zyPYjGiuHmI?&W_;5ZJUu@lzH)ER z{geGv#GUI&w^)0LO0S0B`nE*G|SmY z;FSa8zdJ7z9Ln8An1*YitZYjIBW1yS41uG*0oR_zLCBVrU6-PF+WH8A6tp$Cu=k<2 z&h`Pbys-@jYke2&y(;q6Y(#GuaBy#L9`LsDKr4Jv{J}vQBA4NmQGK2wb(T7maTZlWBYx&hK^M9}SNx4y_i6W=Sjn#ZASM{N!buFK)bp?q8l6 zv1FNhBEbRZ8_DgCdtRhfxP=%8>Wf6e4W^3@g?`*wkvhBU5_iO4;>@?Jt4CiO-2RWJ zIk6mI(xB+SVuJ47uY)n~++uO}aLSK|W%5GjcM9Jo(|7=GDd3i^s({bDAUjWJqzo&g1j zY8J$D%rbucdd08rSq1FO`q>cGpxxrohiKBiZ=*FuV2WaG_TC4pt5&ZuO0hA|+Wzur z+7zvsJ1U#_R|pfhq~pARD=V1cfSdaBv{##8fBo;$Xg5o~U{zE;CIrJvp9h! z!VWLgIDU+2ceOcd)7wfFV}~F<=T_!uK5e!@7;XJscCWX|iKCsX#V-X`xYz|o0yNrN z@zd{4$?ZW)VV%1P<7p43HDk`dyLUe*+kMfQ^F-t!Q)vf6rCZ%=BH*;AW^;Kcw=+{$ zhG5l_s1)ZCLr4bY(xwsX2xdr09O^@;RC-x_Qjf!!nVH*kRT*U}6r1-Ps^2DYDcha5 z1`@j!KANvRUD}xcu8Gmw_zxmn)~3l7!zVLK-vc@PLs6zEO-*w#{~n=2Hi Nb-ZTIJ literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.cmp.hdb b/SLEA/db/Chronometre.cmp.hdb new file mode 100644 index 0000000000000000000000000000000000000000..70bedd24d6aaf73225b21398c6fcca688981f537 GIT binary patch literal 12454 zcmbVTg;N}`(nm`1LyI3A?(XhZ+}+*XDOTK_0tXa#XtClHcXvD7-5tKZ_x^(~$)YPJdbB(sa#KMFlp5F)DnXB@$y{297>)t;SaXL zH#ME;Gp4?Tz-|YjDuR4=$v%lW%dk-C@9%Z|MK;b}HHPiMGjp@v_%NE^aQ9H~3KT3{ zPcttGP*c+|dONAC?R>6iZEJ1w4fxlXUuRug);0&u^#0g)RQz`H+u=@p{W6r}jXj=& z5{U5X`Dhe^7NX02dYo?u{}M<<6r=bEH?S+_^J`QP_^$le>Duyeu=z&O_j01=<*+=A zZIzOy=XJK$YTbXkk(_8!Y_uL7@S={{dU%V{OpRXk2(m9Tbceo55{eE{EC zIuX*i9=Y9gTzYfU)~m_b>%XuhQ%aM>9#fUPE4{5>Ltc zOMSa$((UfrRtvduZF63}?T87s6d7VujjI!fflUc**8CrkE+G@IG%4oUdx3dUoI{r~ z!aX*nj*_SZpMmvY(!xr9vO?TvPf`lcirGmqPtI$ZsYQi2WrrH6o`(5Ijmk<4)osom z@TWK#P5GC8A~B0;WB)kxv;vIl2M#gSSSgtj%QD0jpH1r|PR$UrCr4A`Fix=0spTV$ z7*g0yXSZNDPa~55c>#={@7ogc;DUjGl%>+1kt4p;yui(okzz`?c+QP*@SpPjCo5o% zZ_+fX0qwBXjHAAFz>iRj*3f{Kx19Kt(NadwmHA+!jO<0nb~VFw*Q=~^b>^$J^!O|) zFI~gr&;Wd4#y$VmJRC5|Gmr4u4laMkkGsLEdz%2=m6MruZ~e|G9J16_yjGWMoR!Y- z9=Y8#Uy8GXi-d+DOzQ02;;@|5ll0$Q=_QNB6DLJV5>j1N*`7Ona=JYf#9~g|w@jK;mCQGAB$7RKBS=T?k^l=f{2LlH0OzUxNc--fvYt1n_LyxL+Ns^EV7yIGk;RJ2r z*g`}q+Jq;A#rdF0=-=WQofmE_+389TU#>_9439+OW< z?Ua9J*R1SnnI>-j(A#|f&sHZ=^NVY5QyY=fOA*Jkp(WJb8{0o!{vFLe>)u+h2B_|w zAOdgyCnFPJP=e>!1h~sa8aU~oz-(6ocx7q6J`eYVBU3;dJ9(}Fv~o=~2G^jvJ8T;N zg62g&4F8Yx)V#?5)J)9>S#RQxewgW;D|f<&%m*I*Ms8 zMfXzQsDmc=If|B)L!0C$i`RzKyQ2VI=YYeV*MaB(3A5hP*D}88SJreR2klr)rHJm4KD(?3wDm#|$-Dp3#Cro69yO zqX{Nj{vX0;|MhFfTtX+@dS>rG_S9DtEvs8;1HI#JJ4}HY=K}_@gkG$UYyAx7{4)SFB}SMY~2XRkqY^#m$X>b&s56k7GI-f~p)k_Qv1tU_yaxGFC$= zIlLcFd``?Mb`Vc;ZpbS(*u*!H%PUr_Jzi}eiolz#w}QZE(2)Qi_u!fCg8auemSIO% zB&V+|+F4QqvDIoeZ6X3-yY>)zE()%2Q^PA!u{o#k<{LOlr)y~3b4}et^&2NYyRtc; zjYUrlu65^gy!%9-HR5z27b#C)B4R`dwDy_Gl{w=`C zJwk0CHWG_Ce)o+tcqEi5|F-^6^>b&Lq_Y+Nk(=cl8=W|Z-H=d0A?Z|ROG%t_7_CL= zCn?q>0_wY%_T;7)uQ1nr*!H!yBu`|+kr-mYPHd!!hZs5&T91X{N~zrYOhi-gjFAaH zgTczOBaLs;J7$L!>LkjoW4ukI+#6Y5k))$6305jr8%FyOxy^4aSvNDS)Nvyt2}gm<~{nHAT{NzK-IEBZJ3l8*EM>h0L+1fEwr zXw>B!FREK+#d+Q59EQ_aygenM`-Z`ps1)C;UH?Q=>!ZFl|4_v;;IfKP-zo-vF}p>C zQR?|kEi^TPK0beWqT|_DWzzK(4f;2|G706HT%(hz^{njy+Pzpi=Fw$*MqOsLg_^=! z3L%M>do}Saj_pZFn(H=JH%%PtQHRA!vr83Pl%LBNI{R@1(TVU%3KwCxK5M=yr)$N? zBu(^+is~lEmrrULZzUMh=@M!T4szGpBv#Z#frN&S$hoh6KM-zdS+D=xBv+T?fXd+8 z-r|d6h^@&5DzSwcK?65K%{vHJ2LrKo+gkjtNP#0yU(K|u8NJSoj^SdSD#zP#`%@g3 zywBiX*8CoE#sBEJN<1mQ^YHODh+FyXT<%U%yKe73HDFNEp*<~C>leCTM-?(-=bpvw zO!7`TWZaS;%vDbZY={#a>?dU3tC}{y99)+6A=P>l2Bc!xf>-M&ioFCF$D+;Silx65 ziIK*RM)Q!WVw-;0ArNc+q>v#)|6EK$qDmFJL0@Rf_}3L3x?BBeXcBcwL`sN*-9U2gt5f!Qa%tobrko~A$Ka|&vPE+7}d{cObVb!e!r?lxIN|F9N zDdcD5&i1kdQQyfiPh{ybO=$ED#cwOc88R3FUUQdjF@(#a#L6Y~&EYAeOW!|VHt!ti z|DLRB1})aBh`614=d|@Skv=A{)|WL|FT&OSc13ma!3(AS+8`HsiArO8CQ+nEiXl0P zU{Ot#T6pMTUrF?hcw1eM=h2E$qxM42M_rJ(jthH4<&J@c?@*r6P_LkoMdR?wm#Kmc z`RZ12BEKGeGPa=L*9Uxo_)BOawCSg+C>R+eU@^fiJZk~yA<>w+GHoaKmx>U!=Uy|l zJ$6q86#+j%xyJ9I5e^*$yg4Xw0%L91su`%tS*X(?8zm!^G)&e)vSYe}e6oG@zBD>h zt}oq)7OM*idY+2gv($Q)gf>|=rV(5QZRIW+bm?mNV*n#-*_|N_m9vfs!wgc#90rP& z)b87-AJz$Y@|-|%ET&uR8PO}4e)STB)DAXDTco*Cbt(B`X(v1uxJtDrCkO3(Nzv)3 zAdfG4yEgp|BUmv{jj0g>!8d~^BQvO6?X1bz%9LVp`g%*N6T9lm&f_I#alU3Z`!p*| z4oGp|v?h$5!lE7JB*V}WXeo10KL=JIUP^(OZLl?62h!qnC<*1uQ&y_(i|g5byrbBb zmE8QEjbiJwn2^;dgZtu<1Yi@_DT6GbW!2E-&4Q^L z0b4vvud4Ac4yA0NtH@Z1*H1XJj1-6}@K;rUh4|P|*u+wYImt6zamI`8q3Mt3TN=P) zl8iC>&mK(pd~7L(b2w?UXa?AS3Sw4W$EQ~g<$Yyy;CvXimUV>11|=(#ZOV67iS;n~ zdYlD4fJ|j#WM@`k$Nw?+!wHN%^nGNNZEliFqF7QY_$R)}g;b~lVZ;a9Hj&Ie1y#X5|6fZ&fwW$4 zEJ|EA^CUCMZ9J!fvBI_)R|u9c(zh=7t*uM4^f7_(`BfFfL8`Y13@<`t)%sS>p+c`! zEA!-)vT8f%pH>2@*+GtQqrLxFa9mVF>~@eP(axvHZtgwQYd07c)MPrQZWuSzw`JHs zuI*D@`C?K0-W}pdFSH+o2|T3Dd)s15dZK)T&0)7?iLM$E5-2$(pf9YBbac}8WSZ&w zYAYp@SBa69wIbh{gE{R(yJ)BEB`{wQ!qUQADul?Si9~Y0aH~3FVhr-6VBl}_NceIC z&{-FGM2ejJi16{OW^NgbJ{fO23p^(k&TQd3#RF$sOeN7k8J~OyblAv-8bOg}M7sTq z89}BEE|{2{G+6;??V=K~r>xE$STxT9by1N7%0vlY`;d6&v~zO{qXnWd$YXa@V%<-9 zkb}|QnndD-zN35Shlq3O$!;X@Aghyq3xucH#^a8ZVRXu{4~-?12o&KUh>?_7*k!PSNc*uqkfE{4$`+ma z>gE>PZA8-7i;`-t;05!B$MH>2Y=BoK%a4`e5)T2KKCp$KU><<}39#{}mz{W6!h{@; z{k;3_F+~|}rwX$)um=nua*_mcqejM76_oUH^OF@gs5>Yf z0dEGXm%upfv-TN`?^HV|$Bc+^z#cl)wZN&h~Mu75Z%<1C%>$I=5}^+tlIOY z0ydS;#;SjhU|$;Qxx2YPUit|8HzD0I3yOfKSM5-uBV03<54avV47P-XSYUi2$`c;B z-bAVUS|Y!D0J?=xB+86V{C8+GxA#d5QFH-S_-*K89=J<~#gh&0KqQM&KPcB#Jcj38 zeSq+eOz9b7e}8?p!^z&po>>wTQew>+Y63B}u-L zT}SGly+6SZ^V{l0?4SEvp7Y!b9k7s9`>A4)qz!KKN=vQY%~3%PlmdLblV-z@Nr_q1@EJ#g68@j7J4mHsGuKWhgL=AG^N7~eKK zS0sHLCc6CWN+AAq&0j#~TX95voJ@Yn;e9W>s?@<^zH#X>U)vpsaizdgrbPN4_?@Q~ z$o2i+W$GQPK?@XsWzCQN4r^@$b4Jj&0YxA^%==R?`jPghAo3Qsh#yhfH{2^EYbEhV zkEwXESK_`&kw=YCD{=t_)KxPak1N&_9Hk;LHK_x3;uaHXq01sl zd1fAZ)WScY30}^YFJ-bcJP07{|DxNG9O%i2A0%O22n}8$lg#3ee4$-2mtGjx>Eom5 zov2%T2pciZ*jpRqy98^c826w)<^?xHA3>I?3;Rb>?}5qC15OOw?-|{~vG4qtTYu1V z!!Ly~a=%|XhH8Y8h&B^!!K2qmKPraSBYb=^QC%-`izf~bCi@uK#DlFoSEr!iK z`Yasc50>*r3-^7doZ;*fhFv3%{BG|4&_&9V+%irx#5I*N7?iDz7&0shSGU{muZhlt znrI4bsUgRM8e)&6cDnBh-UY}q(OVQ|VOF_fRj&6D$R?V(<;M^$W43LR)3&w#qvU^- zOGeuk{tpfR5m#^OM?0g8)Hao%w`GPqo!NoLDrBJfpvG&aRus&LPlCS+qVEbnu$z(& zaTEO}RwPnG9{Ej7u|Hr~Ry@tZWL=$x5>LuogOWNvV<@+}M?Lk|*J>qQ?Zmxb>dVLj zc(j4wQw77~1{|b{tnz`cREA;l9ojM)8ABRFMYX0%>0CJMjlX}C$4R!prPaL1mzfq6 z3&mQxl%d8N(4p?eD}nDQ-cmFp&1D}W0Z+oeK$;DX^K`U(5dsziIZj8z}o z^&iTwm)`Pb0t2X3dAbJ&vd^E4v2_Bl&=n(xzvNW2KdAv-D*;dJz-vd~HL=L0oXr%2 zt64)G5gqPgWP}V?Z^lXDcu-MHoq|=Jn#Hw8&MaDoR_yO(5)USj~qv}3|t^@lg` zS`}C)8lev@)B3zo#ol5N;VdcKk^2BEdSXj#fu7Ke2Ib5^V|GHd0Epd(U#td_-~)dU z$P%ad_X-U}8r0-XljgyN4#Mlz>Mg|uG8wCDPYdugFUJNNM)*Uc(~%~@PqL*^eUppu zCrrGBJOa=MMH25)dY^)aU;8eP?+RhDo|5`(Zrf;_O|^~vP1ld3f*b9m2Q&{{FvDWC zAE3ToiTS$P8rxeNo6_KX+J0c$?nEPUQjJ5CSB%%^0Ot|q;^E5>MWVrsOQr;O(*j?W z^{N7=04F3({m;@4`40``LkX*ty06Jj~R#%LOk>edXCp-;rd;q&Y@zjk*gDI=kJI>hFfdzsv#ifA2#M_bq!N*fHe=R~Gb=_!~(X$^e& zKt~S4C-M_hr1~-J@T&S&)%~=~AigRe#G{9cmb0KqSUlku|Dwq#8zxkGUGGAGPYS@N z9`Ga%yq4w*Mc(`x1NkiD+tsOObxjPs&Ir1^ja{64(jZrJ0g4bTw(uVNUF&&Ln7re% zJlk;OAUQ+hl71e-IA<}oz+FQwWPIxF*0HB3y+ zOib);5MxO{EH&g4yG8@iF(t;h#te#3yN_r;vIb|{|U1taVms!#h;;Gi~ z=tq~L1=Ef1`GqJ%`+?4Q$<_4GR2207C${;7ZQBzz!l;J%_rM9et1qW>bcJD|cz{vF z8WchRYlr27!}y+IjQv^SJv=@Ox8>hU%L>g!LN;T_59pf`lpowye_u&ibYjmE*tQ)< zBK_o~*7Sna(Bs-9t*!_8c9q-_ocif!I)UgD5>YX+A3&&A*J0^6FHko3 zsh$?g=Yi{!L1$Dho;q7|ZyI6VIzEeif9fTgo=*BiN}sd`uAE^dSgP@dWcj@2Kw)I` zVu@mb5;B0KTI#~(iv&`UQG~k35FFob3*WAo$kZuzTR`r_?i1fG9A8n1_-82D4A0^T za|HC~d-D?_oH~!*XWT=dpz3S!oLjjEZBVU~x_i{yh zBZ!f+>8Z^Y#O<7oPS@W2v$xR)f~YUp>PYF>p$Je?FnRM}GoHa*`-FrmLk+)>)fQp5 zm|!};Gt<4B|AzB(wr#7LZrk#UOHA~m83_B^YYX7%hwh~CiM&v``1uT}7q$5A^Dc6b z@m5s%LcbGv z$mG%n4J4R4_L+A;Fg$R=0yo}aea5@9xbdBNFm^B{G{$+>&wc$E^)Pmy+*;^)%Gk5r zdVI>darfYB7Bi>q_D##-Cq{(qStwbO_0q~ntK<1y==_GXTyuJV&A*@hQexZ#yu;~6 z>pgTPP|RgdyoF?QYtlE=G5iNBJkjk(d$o4C}o7H6|?TQ%eyq0@I!UN(;s*Sa8qEh;d`k`ZX?|#dv_Z8D^vwd-CiC!uqGb|x9tRdrq z%?A?IsJ7U{iSbrBs%5r|S=AXtyaww|#_^?aA187jC1$%1>HQlXL*vjCYuv-!FKc-) z$wG^3C5tzP!0Uf!7y)=f{_ibH6zKj~ur=L>zf&7*TCWA(C`bzWJE>1sGO9)2$at;213D`R$8`PTbmx)7(z zk{fHN{M%bFphY#pIf1>nK!Go`w!4J;kS=E!Cv8|-qffXzGNC-u2qwSGycWQV_^jLv zhLdSsn3&G2f|4*|30NJgB`M)crU{(2z@KnS4yBNtdh z7LZQ{2*&mwv^Yb6{MtHEB3y{06m}TZTBTLHMlHdDk+}ZS7e%+d29?wv?r#_*)7fqK z8Rk39ldTOJR68*V;Xt-bubFt{Kx?ndtIS1sX<1}xMPw;7bnwjivw%SaQU1aWA>Fpj z7njs%9$iVILb7-bjI~(04y$-A4C=B-ER8-{x@~h%D&$-E$S(F5mx^c}1>9ZtG+9GQ z0Xa!p+vN^GJ&J--q6BDv)>Qj>L)RS) zbeN60Uks-_OO%2REb(J!foK=;h(cmli7Ar@17{UCXbM>~fKE20>eg@QLTX zz44Gv_FF`eKqtOk`{B4pZWTVS!SEEB$6S4i*MqSVkD!UC3osD+H$k}!*3`9E?R|RE z83;Do7m+C)&PmUp@YC#IZUj;e`~8}(Kh`32%83tDFfwHaAMij(!f-Qr(|IFY-pS+4 z;s)`rz^iyx#2r&DcRZ`#|JtKYG|a))b!U<@VFlkDoWF58dGT3!%?Rhj(vB-i^MTtHV`;763*Vf!teI>qdx?zJs^tL$2jNlu%cPVqrXVHQqdjkL#*GI30HHewjqwh{37o?FPsAS*{9K54^ktLo}E~MGh(XCoT3S#%I(px6oyc zw%iB}mZ8f^^EM(6snJ-b+4)~_haG>-yoSIF&*Lllm_%Ci2uB`uNL)p`Lzl*5Pw~i) zMH*Hkoe4tG=}1NDP)+eh4z>1U#PmZPk+nN@q4Pg*!!HzSa%oC@Sfd0Bjg72 zs|Pr;F*(r!Mx)^Qj_^vHKC<14ejxz)4Bb!I|ZTuJ=% z`2^Q#CT?*`PWo+?K4L-4MlVgPqzx@BW*zmxqS%`H-^RfP<@*8>BnJ{46Y$tS8(GsM zn2m{-TBMvl?9EDa&ub@cHTNsn!)Dxn|LN+g<o{WP;DTgjKuH~nW?^;w_rZ@C5 zYaVc4N=Ik!Aj|J9Enhokv}z_e+W;~<+VIpwWH(%J=fMZ0h<0;b+%6JE0x>Qd#W#TRj@68vvIn5AA_3jo4x=$dA=Rsgc*>+25$; z5WcS3NIL;60^j-sH7E%1Pvz_VSl0tr_BvOv&mVpLt$oIvW@#lS=q6~bZ&W@*vf3d~ z!PO;&3-HZwBaT|u!5dq%Z~UY3z_!sqnqa@8lD zn;D>Q6MDrk+unXP`)szB{fesa zI#}ef{pQnMYRLgo2m7pBT+8xjiVLSPMO-@ew~qcyTh>%P^MY6NbN|{4cQ+h~6b~RA z^BA_=Jn^q=Mr_ODZx+YP(T^_jA*b|*_u59mrtNq8BU_gjW|`+D+T zu)peEH9?f98XkIZ-Wt9e0cz&D&c0i(=jRb>;A8HXDbiUN2o~qFRp3nY;ev>35l) zKYC79Z;NzF$?FuuK0l{ZZtokP7F;ss5^S21)1?DqsuPOt89h6cB;HTun(XpdEMLO) z-8kQ;iIyC;6c@(Wc+-g^<>6AzFe5dZ6pUiGGjCOd|obfEJ)FM3`y&MBh zO4Pi=NJpWoJ?XOP)hXaNGCkMg9FDEUQu#VavRmtlkJy;g%UawpPBOTDGOcOccBE}kP?(3>oET+Vmf zJm;CE&C>hYe@bBH-^XRm2=iA;|0!XsSl=u9t)qhaZQV1E$k@U=?DC5~?K~@&wl33>W)IIovK36MAQu!Xr^PLOD}Ds(;m0nZ}T0K*#`#ha;$?l z?eXzw6Q_3kMeQ5Jxy&>Fvfn?d@+akp^|zkPG>Kmb2f3yUFRLcPGskE7Jsp3ndhT%` zxO3{F11HlZRlDZL3gvn)8CJdeR_~_N+?Ggcsh&vJo#Ur2*sXR9o=s1>@8v3UakF#h zYCKOAwr?bgsgDMm7VQTJ@TGAQB79f}o}>pMiZe=>AD&0DuPNsrRMV@~+=zL7fq~O0 zyZSWG@3xEGsuyBMKKYLnM~pT^l+(ChiAM+Z4;Fc>rd%M}+V66KYc^W%6oRYoe4%{h zD%|e&3aY}o&*F&QH4c4OzqPQ&ijeOD<8{g#)H8Ao@;*3~ATRNMo^8@Lj+fiz)ZF$O z9v@QXit0IzxGqdP1F?q5YnubiS{x1SgjJavxb57g59$kjrr)g~9W>H;qk`O~X4CBr zuGKzDp+c=_eEE}m@6-*cl~)PzzKA#by7t^-{IQn=ABWnG<#(9(4t6BPdmeOFOZN-U ze0xt4G!GJsa=I_AK36w)-31wngGMW_*AkN_>Q?Y_EgY+Y+AE0)io}h$A9%T3!~hCj zah-w-IAu8-uB3&|hW1~b_s^sX>4{b+yd$a^aw&Vp!*a9Bss}&@K0RCB*=Lh-3)xC$ zg^7lCj$9Ms3%hVPUTNVQ*Os#+JDwSxj1JS7ZAL*pr%?X|ic9VX4ZDA?d6KS0lU34{ zt8=B=axNZrapMR9j7!WRpz{WY={Q^6bXG+1H)wwsZ5atYmo8Ql3mFzmw13jO` z8Y>N+IA1%Db$0R^yITGE^lr}Nog$iIncF<=7du;Ku}Q4=jSDMVq-GS{zsztVu`{*8 z?ug_P@!0e$=@0YD&F7jUz7ny|RWbB+8ibuQ>12o-QyZPs=tF4QgMh8qPS<`24H7BwZh5 zQrA7!mkB`bmwRj=-vJ(uX6FPX)*<6>=lr%5W~SJH&0PF+ZXV@N@;AEz({1xMftlAP!;126Ab_n~!tK}D z+Ko#@A}IuA|8c)GMV`P3KRaqx zf2xuUwhGZ;UP5vH=(qR@&YR*_N!c7xO4^;HK;ata;w%4y zv$GI7v}Ipi%8L?)h?f#mYas{3x2_JOXiwxf>mjpcDXiy{$EBW>t7;fJOr-%Nn`)Hl z(A<(pTI_*>swmRWQNfN#tc%w~UlkfrR@pdHW@{USt@|V3(*wZt7{Rx}Xwsb3WQm*X z_O8tz%i>$a*#J^=n(pTPf#56D7rm+|3>oC3I%=el$4uTgPWnhRo%0Pa&4!mQh@9toqo_6F_ z)>z`pvCGUfR_i{tk^j^m@%D`2)ET20Pc7t?j0&Ie>}&{y2ra^IzAPUdw`jE;-4l8I zm6YE&S19)bipzMzpc-C8$@zVy>iY&nMXBJ^>EoYIzv2cAzjf+sQ!yl4K^YBw#g9JI zLrg|d!bd_`zW1mrW2LibmKAm=kG96qQm_fX?s#xL5QWZ&q&B0rmP`(+kALf2!C9q5 zz^BKH{K~#&0)~Kja5g5Z)$1_C3OM%4IT;7FCyV*4tVWk9O*gLsYtSjQSZ*7N#Fn5{ z)KLw~Y1i+`$i+Rj#|@sDO}{vy_*1~f=pve1oSea;YwfK zZ~D@3w)miy>-9_RSfFq|4^Ez9sX!;vHUE1fCdFRxb@{a<*#*g^i!&9%MD_uD@s3n( zi8TBKWSMGGULu|e9ViRC=d9M#l$mh%zf|a;p_U)FuJqdGA+rQ)`nRf0{ZgnGmCwt< z57fsxsKG3s-s0~SY_DDeF75;7rG7|5HAxIZxjIB1q#Tw1&J2$g|M>TD;N#=}0Kq49 AR{#J2 literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.cmp.logdb b/SLEA/db/Chronometre.cmp.logdb new file mode 100644 index 0000000..d45424f --- /dev/null +++ b/SLEA/db/Chronometre.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/SLEA/db/Chronometre.cmp.rdb b/SLEA/db/Chronometre.cmp.rdb new file mode 100644 index 0000000000000000000000000000000000000000..80bf1f3c73e10f52451283904683b2b03110d95f GIT binary patch literal 16732 zcmZU)1CVS@6D>NnZQHhOp0Ur^wr$(CZQFCkwr%6i_um&UUc~F}=&D^icjl^Lj1WW|VQUq$oe+fj5%$3lB5$1>MIcM8D2bC|(&kyC(5B}XpVfl~m%VA<{&V)CbMRm|eu|?gonc74? zsA;eK*EDlj|DIxFgYO*N49X4EePiQW{J`p}6@!{}=(Li86^nl|f!n*kSShBHror5* zBTr8w>Sajvgb%M7JJ!Uy=ljk6&!2Mz0nGs+)~QepyE>1d@d3Nxqm&}^4FwepZ^{o1v%J;>{8P&0y6OP0Ye zvEm;5EI#G()XHof-J6iq{@~rZwN={`3)XE5fK;h!LlT0%>xc~w9gAkHBdK!SYp~8} zhf=iV4Jzuz%Ef?^4ip)TtvfKnY(A|=A5=q7|AP7x3~aTT6*1JQdZj}{m!#U8 zlwpCrq@jy3OosA10~ge&u7K;%hAmKwpKRt7EWpK8Us3C@{l#d!uIaYK*ABt15R~IDY;s)TcndMEFW2xexw(Xyr5X@We9<(hG`YS~=oo~+#0KV@3pXuQ-P zqc9y@YlgyiMlB|v!(PIjfo)OYSV#i6b#NdwA| z)GFe4JLXqYJ;P&_qm|n?g>i$BvX_fB&loT;%|nW}q-hHiQj25&RI>zS2@#4iv$<@6j>9G#lwjv3iy;pIlK~Nxxm6qYR_EWu zyCRFV@ooo&;?s7DV|^h% z1a#d;-wLY#>R-Q+0Q17OH>}ggvCBIQKCUh#^dcXfnWx=#Q+a!IxNowm#HVYO{49K- zs$EqS$So=H%2FvDQpb-qy26NKu2zv8>dX;)clNhi#~h!Ovk^dcm`BQuPJRDY4Qv4; zft@`%|Pk`e~lqngUJ_B2Yl4!mLSiEJ=mo$&DZPIYqR12jb)fR zZwB!0&`v=|<&g*UApB?+%b*6f>rZ^2M>>3~icD((w&VUFpv2!(wurr*Q{m?29vJOl zBf0!D^?~QWwtr8f=>w%b{McaIIzpJ=V|{??O)~pq3Y<**;U-Fk$LPwV{IK}T(Gn-~ ztRM0arOUQ-^A|n6pjX=Bg_~N~P8_3=937G~DNVdLX(uQ$@uBPeJGFqW^d;yQ?57m#Y4Mcy z`GM__`^a2*?(I(f_NjG>h5=%ydWv@rOA|H}kE9ohA9gftRYg0QA>$qzl*(Q(e!Xx( z)5*4o_&Jk9Mb0gkOFk5JwCwRwdEcc&cMQM}=pdMNeiX?xaZt_K-cK$0`6MssJ=YENfp&dr9y zkx>|9!?*o#;x4AmBq$C zjCoVU`c_jIfX_s%>GRY4HY?SI##)D<18SXb2>l#vhQEIN_NDfUzc&Wd^Fxz1$rv** zT{&3S86nEDI=q7n zeMe|t9cL)Zusc&&Mo%gfK6W9PFf;^5X@zkyD4)#B!aU%TFNH5Uo9oBw#QH;E z8@mht5N!6@zYx2t+?%V3{d^((CHibZr?al}d8zcdzw~A4Ky<}SkN5SSwA5i+P4lC8 zB8^PYNRJ8XJYvox_ZbC$`_yD74qw=3C=<#i2ypxZzwS;;zdUn~57~bg*6ny+q+_Jv z!PwdTDBSkd8^AC^xt(^=sf%Z}Did7`AjKp2Tf0u7UE4f3`&g)L5eEM}$gTEU8B)o4c>Fi1ct@M2c1N!Tw?ykja88Zs*vuI02Sq0u;?`U_&b~#Dr7S zu~m!{A`OFu&V1`H!+nQ-vbp?Kv{v{_^@XQr>jZb%J=i??P|>)k$Gxl<=UBI#2COKk zp+38-Aq+!%Ej>gHrfuOoJ-xP4*WOTq^vC<3PisZge}-hep>tl zWeGTMjMU15foNfo@bRO;P#mZ5u}CIcHB;X~Sm=JIrK1E+iy{^OSdbIm=qDjcCn9e@ zf>>)Q{=MN?Y>+Ef#h?Oi$hrPUSw1UFwSgI|uw|RU1A=fUlM}`N^X5?Ct|NzOhm{&G z8wpFIYrAVlh{LW{(Q)ixOzP*pRMkV;n>(K+LlWrZ1=ur%Dd`GwF&4iKlOk2^f}hbw zWtTmQU1!ZUGZa6p)aV`rY%O)YW>=%RWey^t#`!DyE8V zr%D7;%*p=sJ_-!Jxk(HjDj&k*Ht`6MK^ZYE5|2$dlNNNbCups!C#9U4Z7+$R(RKY1 zSN$*Mv|IJ~_$^tA`eL^6JN%JFN9^ZKq(u=-i_P8(EY@AK#t;|(#C17-V?_`+@lQwf zJK4fqFdMQ!z-a%z3PEJf9YPXjhA7|eU8NU5^tOAfFFPsoHu$OX2(tu!)F@1%k53qP9B zUelAeazot|<2qYYaV`i%0$?>SA5x!WD zst{J3s#T<`j}?wSt8g`(A#=*Cvw~UOkOM;>@CTWDhf2Q6;AXIr4;MRu!awvmvq#_X zk&o6SFOBki?Wg8Jtvfrth-jQW@z|o0awV)!MV^GeDI+1CvRo%|z>A?H-PxxC757g>Hm~T<)ht9a$aTWS2$-{?G^glUj zd%8j6Nymep0XuM&mzM!C?F-%j#vvLra92XdBtwUyNX<GTg#nQ8$W&zR%`p)Jap8}u zv{!BZqQ{&BDIYs^=AqH--^$=MruBk&dJRv#@^!(3q;H`%wE;mb|Mub266qnML{1Va z^=%bvikey*npzqg-E~dAUba?md&j%^dm%Yp)Jgf6>6qAPR1>J+0W%*ae%3_%%xU>( zSvfgDdrZq%0qKREOMBImZM*U|IE5E&ZE73(+FG4;UG1&82IjKSVry>)FCuYUs<4D=P`+pnk9)9e_nE9`XD zG~2Ch4(9gOp6(xj%_x>~4VU(FR*TpiX$_DD8Xi|K)&TbQmUr`S!_C9f?N`IW(%9&D ztD1B()qbd!S{s>;la?D=-gdj^f7-MJ)eT)nHEO4DnJj^$!x)Zad(c0?)e;Q}AG}D_J&hr_QS*=x2|@sxuVr4+;dJX8Mk9 zDYjqT*wsm|I6NAUV_v(lcr_iYE^&UMsa4(-$5OyjbF*PpPdXz|qJ$F+K|6E$_7rIB zkIu%Oj8XC}L{8Y8mu6oUbEQ_q5gp1QMq1|3v3AIecyZW1gfmb3!9?awf<1MdO+AwX z96LiK7vRj5u2iLu0;PCi=vXwbI^4X(pf0q;cAH#3!`@LWBuPv-H`;UKD595&kD3`V zXu2oAIJi3Oi$R_HFe@h7$`$KQG~86vGyHQCZ3ZRGZ`UaqVd3&>v%tV#l;)0<^xP}y zr0L;L_&;VFQeRyAoYa-)mYhN-KR{Q@OG8w{fAg$gOH8aFL5dXmU3oxAfgk+|xsbbz4t%v9EL1JB@ikcY|Z; zPyh{=qZ~h)RPOnh*D9wXGY4v4FSV)gxwiZXCQF%_iD`y|HpQQ>`?P9q2oyfFulQU8 zdy#IMS(Z|Rugq56vx3Q-vGf2UoWGOpJ`R!x#_tB8F2+vm(}Q=%pxp!I^~k5R}7G8 zZO69Fu=@(VpaM*r1IQIzm=f+4Ax`5fm^rZk0=dnaq;F?TB9E0!jOza0yyB80%UOQy zZoA=elR2gU)Kw7#kXPZMTQuAnFJ4c6t$w=!4A=v@xXk=m24?9X?|u0+e?~oN&_ZSV zoo>>ku)&H=iR?%*t3aKv6Yq2gG~QrmSl71Msafe_F@X27N$>=5kuhZYXw{ZeyWV|G zN7r3kUmQmHNj6cRpjqu7d)`tERH8sAsx=B8ukjxhjBG&NEPASu!QovYP`U~YJ`Sj5 z5blhr2$@9`Nf)|N4&P#oY1%!wNV7-0sYw}_{D*3G<=6e^F^_H!lqwYaQ174w@@y+c?8;Ks7D{p6QV`;ifN->&5{532Y@D{f4AMGjUXDZ8y zD^1CFyHgxkByU{zI^X zNN@zlq^*r{spV}#Zjd;XX^>4;!lH^Ol}{uoi*owm zy_WNV*p17a_)`nn>FON2(2aszxMjld%4#`VIZjr%cIik!1$-F#k z!!u7Ht9hR9r|$l?O5lVZJuU!ByB(yZmQeQ|-;giuGSX7_DB`pwHg<>5+W3V)_=jD+ zcsyt!cRdxv4Yf)?CsKJ%E(LkwyN>f2oP@|4k4{_78t8&7-b| z`y-HMppX|K)al9EoSG|wcs=l(-VOI`MP`+#;gj&%Lotxbw-mH-qM41WKc(9`S^BPE zGMoIj_|C4&GE1TW0Nif*-~%&DgfmW#bD)FD_Cs@eK%K}m^0A19q!Rh$lYA<53`#(yYre&!Q(ealKD#)`E2P@Q-%jK;no zWRCS-G43%^N#EA33x_Rki)_=5Wb731??T-sxJfzt#c((6$j#_9LW_w3rWn^cBNUaKwKNLPoH zS|cwvU}XK>mB*);i?)*;mvBU<-S&aFCmAtk(`heL)oX5u+IQ*Ha1W65^O9q~OltAb zPr>Y6x>0Eip#I`@78!*A-P5IL9wE)co?n=D-%=19JT8eiHH0x0LKXS)@{4-LQ$uzu zaKfP>gos{b;9A$uTn2Ry+sdQHnrM5tS*+>zj)wabYN&b#e*;{#Pewzfs7_(Ci$kXG z=vgf1FUSEWP-dBluD0dKS%>BXd!J@#gLfKW)0g;-$7#x<6RSir;1zw;Js8YAjU)$! z{7X~}Z?_F5G-zrLuy430J+(70TjDI@-+-+ByO^iUgB zdHok#h7+fKU@D;w|B{2VvCeI$cz?o#SK}aZlXW*Sy^9Xz&TRT=*oYU54s86W`RGa- z`oc2Oe_3jGP!|ztqw>-<<9uGrm}X62w*hYQ$tdj!4MccHPNia}*V$EJ=TICGf0$Os ztW%vFc6#z~r%}<$anJw#bGRDZBUi}+y4dAxvP4|`;iuq*6LA!W#bDgQyA+P>Cc|C9 z-pib2PP_Zoj!xWm1QE?j z+L|$>H4v`46P_@hI+~g|6?=)zHJu`US#Qm@0`GvFov0=0>zb8D`lk^fG)DEnt=oh2 z+Bq{n1y0K8+=hbK-mw3}Bt-7tKmIijjd z9vO|jShf1)AXLQPcT9{yu3EP8x3kTX67T@U8pvz4!lgW;3I|LY{c|QQ;=X)j6VlJa z>$OTYLqw8eRr#tXIx!?RS(b zFjpVMAyOje4)XkD3&qZD*D3i`WYys|Y`I|jBPMyc(oucS(WpBka#!oP)-5jI@bT{R zSGbw06g**A(9YH>Cv0AGuG$~=K~8}c;&@M2ml)qNb?3dw)deW{R|hKc=!(VL~ zXsYW`(PTs@rcP}`@wYm;)wVkUZ7a_G+36P00~A1J_y_d96k5OZim<0=$nHk#;ubp- z6%G1?_QE_X9q3C0%SX5}4i6{iOxs?g$kB1fn>poJ$w$5dyFYgtdhGG%1?rQ=!dD$O*z2-tZPz-Lg(R@nSn~cVrK>torP7@1va=1cV`TQUkMPV?3Gy z;*2!l?)=^-ySr*6#DoA0_gp#htLrk#ivy;QHOfNM$m^m^H$`VATXjDhk^gFF8>WzL z&;j@(F1D^SZ@CR-B)PAvJ4+aDlVAuzgs45jP=vmMwuDwGyWGjM9joNvQM2TeM zy54iFg^@le8uJQ{-3_~Ux7?O3{jprW#Jkb#AsoX!@n+)eF3OX=89TKQZyh{O?!GFACtAEi#12E zv%ly55DH=xE@7DBNa4Ka0Phbc0O56aq7)PK<=yz?cydG!Pyeh<9I>GwgxXlNr!woMU*i zR3%y#B^o>1bpv1JFo7V@B%D0VUX={~`#2XfSzaO&k6zUc9vhW2vv^Ag0AC+%YTKG% zS+@hQa$Yx>upb?|(RCyEyje*0B~ptn7+K7oCj-_hO-jaQmr-=CJ*4lDD+ki?A?Qi@ zV>3FlvJc8wR*2ux?h`15*+|P4d{OA;#^9d>oAh-KeLvt@T354cbeeK27^pQP%{!wx z;6_gwS$NPW#Ca0T`?w8D(-Upm9d1ZF%x^7{06{HEJfRM)HV2e?F2{49A8@fXT$Zo$Od*W zXVi8MWoYIi9L0py(2}s>W?zfO{y{OIzB^7p5;q@AlXtNG`Cbzh%mkx5cza19Af7{dE-@d3jOsW+2aHAd?@E*QQz!d3U%=;XZ>2P& z3voWq0x_d;-?#oYS=&qmqd%5G%2@M3b7|QJJ`z!4V0&&b<;aRJWd3*8fSlhgxh?-i zI|Jmz6Ml!ct;12v!o!R^z>l$$5>}d?nz836ap?@S`vq}FE4_v4f5!~$@X74c@4y3l zmJRH{6T*@{aF+k;NdGrVf`!R{&>$PZZhxV@*lNc3Rs+1b0y}tpqSO8&>+uzc=_Htj zmV;q-Y{wtpl>TxVy2Bt3e$@7V%#{N;TchN=f-3{v!M&q|m8>T1M0+JX!%=(Q{*7K( z+p2kMJ&=!&p#V+CYtu{sdu9!6;SZf4hA~lksd+1pIBJ-Mk`R|RSp&*g#J_!dyzm8V z(O)a(^*fKO21|eZ`P^_9)0F^>c}0;ecqpzP2LW2Q$<8XYB0}$JKh52MBE{Hy%1*S+ zvpz|}@@Av|EMsf6XchRjnDfv+RsNgaev%IKXWX})0BsmywbLs&_k? zB5y9yOTh3yfbh^ompud_j}c)V{OGjmNaqcf{Xb!4(!v>t67plEK0^($wSRv@^VV5# z)^M0#UE=WnP^TQ2OWfeZ{pQvdJMNeDUak7YuWmc-x1{WY+=t4P4wfQ%Br)Fn%Y@mq z**le~JB)b=DDoob0ZmPpm{y{!`M7O9g3+(I9*_T2<8z=pd1nfx^UEoY?^EZ0N#nRm zp5!IWeMfxY?1}hQ30LyKnJ?Vfo$G&6?*7%<2VpbVp&QKEODgTCvsdXhdndl{jpu(# zZ0eo79yOG>>C(5KM~oAih*=Q#nchzddDJ56yyO^;>pAZlmK>$+CBoY?96eR$IdI*m z)%r!`qePI62Kmm)3|(}CAW_=^7}ukXu!d-(gD*ExZOIORq{imhe$zoG!Ih#!J60o4 zw?V`#070xJor-F8lhmchRpP=+|MIQ3_UTjnAAa?kplWyGljlEns z6z3#TtxMYJdoMh%l5qJw4u5tLB)|5Rh(DiUNAbU5jjR8LB~RcQKgiKZaJwti#;>l)X@u(S_g5{wbAuy<7Fubo##6 zzi9rT2+yBhd;|&qkG58bqF=s#v-W)h(zlmD_B5Q47cF3|ZPtcf?LsU7E}>gg+h>v|_i=zZq*JLd|K2G8hQ&1Qm5KH1(` z3lpq_nProe-}J&yYj`VVeSi6B-abQ=vJ^%B)++q@O7O+sG-aFn>i^cBdBJQUO-u#o zzY=<1T$A?M$RFZ&N;iBX=tGXrwm!W}0W2h2{jaGjppqw}J5(ITu`0UPzom(nDz0Ia z>UXvOmme5@5?pJeSccCyr>Hnyx?AD-`P{ImV*M+UDhg_eTT&r0(9%7uV|MU#TApzF3&H zcK)0O7gdt1UCn?>uK3|0pEqn|M{)%h88%+JoP<7l^iy_jy%CzDWN%%6#{H>n+{ngH zr~xr)<>oIM1#jxam=D!8bk;6@7CO^v*wCr^EqDJZ>xwSqaT$VKCw`9Aua>jKHk|e~ z1zx=~lJLx9GV65VNl?iOr7=;UgStSj`e+uU^iuaoN<;@nvi_})d8{B8!EK^ywRc#J zF;_b-Nj{f)sTMxr-uw+rKIjm+6A^wT~3~Z{Cd^@6W8Gwi?H4STk4t{ouzCz^fT}bC3VD zHm^(YKTb(f{^Qi?MB1hEAP4&auHJh%U2-GtNt|hN57nWI4UfhCaj~pOU;H`}fShYL zThZOWgUTE$eiX9SRyt-x)ecN@bV|^@8lV=^^%lxb zL8|+;X!4@$T6p_@2fKg8O?Kt|TWR8kdsklUNQId`v1xNh>h0y3_9rgM-ShY!s+acY zhmZO5W?EZ!??Be>)0^b?U|jnf8}!&v=^LM^Pt7YMeUkc9y!ca`dC-@_(LK2KJ~@tQ zMXx8~$G`6-wf4(z$#kieAHnkty8nmU#p8)n=li9o>)bcx9zX7WHN!7^+WkrGYqHYkd`#_2{^vdxVzyW1HMh9yz49jI-lF$w&(a;& zyOP(0vi3did7tX1aA(Jw{(ZaS{3JEm^NLhQ*K|RJU+3sV>DHIM|HqYc_vdbdmXB&D zH~HQow)N_H{~>b6aPs2xaVHFIynMcW-^cdN_QOU?m-x$-WP%;eW0LYAwZbzk~nhvqw%e@|8W z`s^{B=zZX(;Ya;>AwBf;{k@fWc7O95zPIK+67f@f2(MT4_TTr{3GVH}(GJm3bFWMC z&w_31tEKOvHP!)*q?-JVjH``kHpOe!^=v9y!}wV1w}W74~}4nYD)J$$Sf$q(>UMI4zgj4~AU6 zu3>|?ieDbFzZC4s-IgM{Wp&0n%*DOQ^UoG+V(H`8`@08(9e3=tM2W(bh&gT}XhfC32l7iy;4g!H6>_+&sIy zVXkIj=5HohTe=4m(CA#tWzdbx+%sT4^tSaR!F&1X~ndsXAzJFY^#Ka~eRpvfLW@kn}88 z>de4I->zQWv3`S&Ks0~AE>4FB#xeae!v;^|N4N&`{9#N%0f}UfCDInzba|-h^$8dk zy&glyGK-5m01Ay;G*6F==~>_x$U_F$h)Hp z)-Myu;iMEhAH{*I6Q_V>U#HDob^b=fG2!9py+n$C&)}XVUWc0kf1Vu56~|Aj50}I2 zE?OMmLg3t%W#Di4_xyv0HIw2&LG44<2PLsoM}!m;xFGM%gU<)D0WuG-IqvUcB{B5l z%arX(EeS+O0q#1pg~w7l1(Xbi=1+p2nY2YnABDLY{_Y{Q2lo`=d`xG*ctQjnQM`2s zS@AJi2aNr=V*2Sm+uuQ8+PJ$ri9B1CI7n2?!GALC_42l>$wL3skYOe?9f7PHfYB`V z`YDNZzG@_Su?hM0DpJmk1$QbMzCsSGuL}#yh#w5OyM`_si@D&|I4X$ANtM(ma1*O= z%Sm)1xaGj`{UDt}ShIlVqsaB+se2=?ak(0d36+323d+==%luesupE@{gZwPKuH$k1 zL4wv&*DYV~Hqqcf1^>(eO?hX*#in%9NGQP$_|{U1kUuv9Ad^$8ltRVY#-g#U0)-`l zL9xu{PO9pBS3Ve_*jV@7)(kuU2vJb}5AfY_|&@{C!qZdN;4P$_tRarRND z63T*2yxAhtBtlI8P{u#*druAzOG`UePEwC4%%kqyj}Ci$oW`9Lg=uxRb^DZ$$z3Yl z4Q$@8rwIB?PvwipK3$$1Jy>33MwSeG4i^^>%Pt~p=m_D#g!qpWWzaau`AeLvEI?I~ zKp#5H8?L)FDcMRNN-QRzG>DI=3u8M-awZ%jC6gY*zLx^5OG4VP)tHfMTKy4^-rfzf zHL6!JUJOi+ii=-Z#tJPVQW>4>hnuwwL?uG%gB0XaNFJjVICRNxi1kU+>ot1t3qsG&CeDw_W>q`^f(%b@&Si_pZ2P|%$h#nN^w)K+F{?bwyjm~vr7lUkc-)2 z2Vy|%$lEo6{X3MnOWSjb5H^a~894=Enw+Mtm#WVZca9o$OzI*PVv@`^Lql5aEJ5uY z33ND?ZZA(@AB(oOtqsSPq3t@*#yHKBRI+%OCqPHF@z{vVwzny zVH9K>yeRJpW&zCz=19;uLqalh1CR*$*^_S_@BJ{*W47QvOuxk3~Rt3sJfjL!r>#-=D#Y6 zou0-Ljk(d0ACt-3i~~{^TyK{RUKw8#V2z|3*J~@62%TJs%4rZUdsuyG6XIRJ!FoqZ zPw#Mg9tlLSZ<;@4y)o=*z5Qdl%Z@`19LbKhvXXKLF@7ja!Lippi^xyUXCp2y_U~3Z`2Ch3S?(3#a#3xpgnWtuw6y7JOhMP6tGU1E$!x@ni)p zVS0iXVVWxPj4ChV<)5chAW(l7eDq}xd;oED#L$Kg$WS7A9}qM^sa-Ku3iugZ353hY z=)#HXAQN9YU&n|4UH)Ua4m{L|o%z@nyj`I6KTa2Z0B9aHJa7hnYZ zJMxCMYogu2NPbX;{y}^=NeJN)imkpraBm>0j-04K#2S+k|AvR2T-XEsz{ZGw-%UlM zyjTp^`CgmyZyXe^Y_J_VFXTLb;hz$H&dH;9Z8vncq&(s!737+1 zHnum{<@nNo&a@4E3~@`jZS*cHSdIvNp$qPDx`H}s4vO;&bK!s0)XC*B);P}JM4UH;#QKal z^S$dBWD7m~n=PA(PWv5j`W>*(Zkp|zS%VqmdI84&f;snk>;&Sr-VyJOzDO_xtKKWj z_0Nv=QPU@%(~i&PyZv_)an&S-|E>d=qk8|3|0t2)kf&51WA8VxsQY{m_0$6RuT%e= zWq>c6A(@xl44XNrknfEa^g68g+Y+|b0~x6o;JMkp?`Y`ZWHVhcea3C%oi2t}-p~sW z7PYZbXRr4gsQ0E2`5(+@&NEj~Z>R}!FSXTDR)H@0k?_7+?i_=|6A>E3_hO6Jpqq$K z5Jt7rQg|=k9h$J0c4)Q7(m9?9dho>#Ga9>{(hq;}`(Q@+yI{uHLukX1d*wS?M!G2X zQ~D_PAU%u+bsvK%aWA6JNFg_K9>niryrH2|yw|XoVV~eG@hfN-yjg>1P`YJ~;(h2J zsGi%}-QNc0C(D}Q(UJcaQP1y?*FrX4#&{=O%zw(pFaog(*8C()J2U9V3~zwd;x zGl2e>I_iUEhc&SD>Lcs~Cnr(t_Y}qp;!VaN@o7#rNs;Tg4Yt8feA@F0E{T7;A=nsG zOvw8!xl@436!s7a+y!|1W_H_d_+WM2f;L2I2BC}3^5h=pWwq5wt*pF^-1yNW>jAcE z#G5oaM#uyY8%Q?5J5Jw#c`Nb9(g<9ug>eY%ro@Jny|w|i7iWDHH{BqY>*HB~XhX2t z3-CgWj5o$H7~t1W@8%{gd7>|4d!0W>}<;e!(eeTdYy8XK?ilv zJ48XNB#^y}#@k?Tha*Lth-hBx?nfMY7celFR)l>!!+*+uD zErHd>^{62{%O2t&U87(2W{3&?B~1wk=3~2n+00O|uYnYKMU|1!vQcC}Vyl1vd*NAU zDF7zYCC=$9W3G$Ovi$!18*Ea>X!s}9+Ne4ost@ZH0dpOpNsU$o}Y)f^d%O;$TIyO|?T2u!hmrW8NCe(Dc#uKXegp$>!|SfM4A;0ojhjUDUi zZ3BFMNb17MJw$z!oXOF*Oo31f**#>(>Xzwze2s*iUBgI&fqAFzBeNv#*#f#q)rHbv zVghKdd6BacMBwVoWAj?kque?P*WbWLMx9+ZphmVChkWS2TT05zk}{&g1QFpD+|ZG9oJ_mo9yO@lPw3`;Hm-uzqDKimdq7l{ap{uHZ}nyOLO&1Av`Gc*OfehWBRNk>78ca!WgK!{=>I*5A+&PjvdQ-nkGhaImVru8?>4!krk%^xvv6U^N(al|M z3_9;sAg3yUj93&7QdB^u0)3AtSKI$IUZ=@W2L;?u#NKg=28Av!kdHk-4;$#@!ywVB zuzXmlgnp-TI*20vs7;E&>uMR8L^pJ$8MoP5-&7BDwX-AKIGqppZ|L;94fugX2Cw_q&3w{M)>t1 zT7|GrN;V-Gb{S#?!pf2$$u9TCi9&yg?J1V47apDjKRN-WVlhsTqLDmL>G;!KXDPXe zBkJq|kQ6d;)8o?f-I+*zndhOKOei!OMI&w!X*&ecrPvy8+t`FVo=BQ(QXhG!z}6`U z)=bQqT)S~sxhKU_Zmb6=n8sVWMjex_8zm21fWDDPsFD&E!x%Q?xH_7K?=TW(Ljeu+ zC~+OsPG8Fshm$_5gTeeF5k(`#=qF^c1+@ZBJ`l=L0Zi~~3%$FqEO9D^{*JZK0HQI~(xGY?*|DvK+VHA~Sh4LA{zUlW$8E)e3AiqA(Y z(OdTkcSOX2i~*W0{S~<PLj zk~WIhMCui}s|au*8-;bs^2{2&>`1}~oc82Yn!|>9B?6@rsfSN_y*KyPw=1AzkRK4Z zQq-;YA~%RHp3W8Pl!kC8R(lR|+hlM4KYJk49YPV>mOK2NT9k6auSGL+eM#e$nKAvfvMl6Ge%VxP5Rd}X5cL3ZW{Ix9UN!|`G8D}&O3NA^~}hR zio*2Oq>RNhfuk{`I&p;9 z$!}O>VAf@Il*k664-G^b1BGLUka~2brDg#U(PvYKP?1Ej65SmW&f4fIMS-^AN3ir$ zZ-=zX?8qhMX*F#+HRlW^tV7b=rK%541%@uh@uVf0K8V2h3$XiGAr_XF+dR6hm`MN? z50OT^4AX^=)~BIGHT}b$eH>hfj{m6n7X^6x|Jw*T^Z?RnL&A@!HteOe>oH=BM?OEDp?rIic{Fm1$Q~zUAtYU%GUaxJk^ZdLOz4{wlGP1_XCL8g zmXqxA$g0*L5<8Djh~V!>_x9&9h;26o$=y|gcT>ECaqPFz=9tfButBX^Iao=G7O_~v zE;wyd8i}GYit%ETWp9u{NPkFFkc;UaxnfXfoo@3=f6jD}HBvfB4}HXKu@K1%A*WXb zyzz!FnVU5aPCdIEN&kf!e3S!HBgs4DO>!Q?b3+Te-QOGmcKdXFm;HGZ3|y24l7=rg z>me)8;Qm|VY7jq=()5|>=W1Q$&J-gZA<%@jUJSo7!WeIs^_Fm{vU!ZQ-wlufgW;1R zG>L7NbeJ+)hn^dC%PO4&2-^vv`=sYuixjXQs@$ z;*z~rgq55GC1qC*h^v_AHrASC5oH3H=!raYGueZ)XCXbefiq_#ymJh!`=A}`XPN5; zv~IdiFgwGLKyIqWLZ?J_y~nITwnJrjemgM0+o4lTza0_qnNXZlC-U!8J(`K*U7C?h zHq-D%fKtx1N(@K>YGW0KM5ed{R@_iF>-S+dD`$4Rk&Apq|Gb62y6iS^qI|ntE(->K zg<8Utv=Bh`Jv~P}sH5j?jK(jKzow_3iZr6Ao<|!i|EdMLK3g-S^Q&YAu;~43jX2z~ z!S4G0?|<|^KW>0V37itZf+!)QLuqCOl03wM(A?0%Af&-dRxU_|o!DzKVUY2n3LyPV z1j~PE%V}vYQJUfi+)$|~%nWD)0;Y% zky$J4%xkoT2gilxLU8WF`C}vwt&xnYQei z`tTeCYTo?L(fn#+65V%twQ((sWY+o=DFa}aUW5P3Yt+yYGu>re+M-8G(zBBJ#u6$p zzF(k)J$^M?+e}0r)GD||kP>wYrKnP%$@a+6p?*tfG7VWKFK{PmH6P+J3mlq>+qI3z zV9>8+vl@q-Hy|xOyzKtclqP4@9f8ECG|55x4uQ~pQ zs*nGNE?oaZ--pM!FBfSQy$0dbGa^s9%K{xf;yiltH2M{D;CdSUHQfb-;W5e3OJy@rqFN{TVKEqC{}SI2p|ZMW+| z@=q59CIx*aC$M{C;&u}`=*6IvZgaj*)eA@C;-^K;n0cdh%uR}>|J{?;py7hs4)fCV zlh#>_&)#{Bvjp{dzkex*OMP5`9;kr+3}HLVSJS1p4~s6PC-Ro&fnirD^Q;kYl}S)8 zi?~+K=EA`I_8tc@N{wvWSer8MzEDaDr^A#C1e! znG1O@_mfIFH9vxW)QthZVmqsXi#R*aolTncurd3RX$NgU?mYF`w7z;E#7prUBmPT~ z0Hm|3J-FxfrR#l20gsF4WSV~$+ucA zsV-tDRvh7-m*zj6{nbH`7%B4>!}AJg;&`yY5%3nRKhmW$@I(0R=*zlQAVnOL58uzt z=M43%fDFa4^k9IR96YzuRq@J@5V1k{O-%aYZCGhbq>?^l$nmZT&+(u9DEKpVrLa(k z(8AQBqibQ>{Ic)QDlrr(p0)Tvljgs7HNhCHU$4c!Gt)UzN7{ z=1#W4#pA7≀dySq@okO^qJo2C$htbU9rB`>OlQv7w@?Zk?{c`2KjS{f#SVYMC|O z<=wA5+kLQZ-O7rDbEISAGFZ1&53o|Fp08Bv@aLoTg#k}8HSPw(abDoH7-d22=>F*F za{KX=Nb}+l@Y5T*L!DhMBXaQ7_**APK*1(ZrVTlp8Xpz!D!2K&1pfhlq4E35 zI_k@uOGoV|T`H5!qyR|!^Oz28>o`@C1G*3B0}8VPdv=oY=`O3Mjg5#k%c?Zh#1~d0 z!#0-8>H~&aeA|dkIDYa={47*mzA7ypMooY8AzNXj5I;S@82@XQfLcaRnT-v2{!t++ z&hdStWV3=($k97A=lQ^>iiQ;DihHUrXRzqLCYNb-v#;e_W|f*BqP&(FY*q#hbb3W` zM-=80lNvxV+qFFlHi9bd@gKF{^T4_zwhjXl;|d?Cnbxe{{In(2Ybw!6>ROoQh~E;9 zS#5MKa@44qtM%&SPheS#GkF*Oq|7z*HtQKlc&N)GeQD~$m->1tLR#^^zVi?_yIOiV z&>mZbI!!6rFnCuxoo3KtbE1_W`^G60ImA#936K}1TzK-)z7cGla4WC7 z@0b`H*YfAZrRxm6zo^JNizRcd_ks0|+QUT@by3n!vB}YPlXSN#6*kfLv0IhW@?bsH z-ZUM7O`#FQ7>=o^kjCq`mHGyAl{D2qxYT9CpZmg7xthNGGMuVZmAQ(USY=Aamg{X0 z&&|(#4sHE@`RrO}52^XKoG!j{&gMNrIzwuvGWc8d9FbJe_VORLS&%(OYALo3wVMX5 z^R6)rp$2}g4Cln%e9vYK*85>b{*JTAqWG_pjh`=t1{vf0(OpM0;j`}P=h>XOKi|)_ z8{_sZ``yzriUrD*JtuF`Iot^{%;PX!9(}n9RzHWsi>WOHJ7_#luKY`X=k57qFW)7P zB=t6rN=L>@A!T^g8k$G7&;gJH%SznFmH@B@gBz`{G>%93*4*UUF%ZN?cIs~o{pV=A`uYOePYybL28dC-&A;1GAH%5r?*vFJ_xY26;{ ziRo|uE{>InTM5L;TGcHMF-_gr#{?Q==R{^`;2g^TY;`m$vr%QdbLwks`}O#3^*pZ& zO~o-6Mpw!h8gTjtg@e-jD?1hE312qBbi*; zY})nD{5Np=a?f-Sxs~2t38}?51AAB5C@A;q7cK#yhJzK8vVg!$-#rg^gxGNu7tKPz zs#)0!XEnck^1J0HHtiqJ#qt6`0RztsM&NJfk$>vn0H`g$Tw(tDuFB(f-4PpeH9^HD zjTu1f8s3!${OTCqM4LwM&5O&|)aZjnSK~Y5-nEaGWyvUEkX&%lo!-GKk43-F=QkmT z0YQF-#bOE3wGQ{4f)1#{nJ^JywaDuZ{hegHb)d#$e<|*1d8Fnz50z8U0-{jys zDwvki?v@#2S$ns8_p%Qz_&fVB#LN%Wbvy(w-|{Iq^z_eLtQG<3dvBw@oLkk$X$F9* zHMVaJltJ0iP*z=3<};vf^)PBS0h7l7+KU0mz;?o*NFBN*HVyA>pQLM(a{qGREO{sJ zbVeHVQ+2#LI?7GI$@^ZRFZcjU857u-6TK-~RoxeCd|p_hynHGnr%A+;Tgp5ncA}8y z2i?j#b;9^+m{c{4`dU$^EyDwttD_~=$OnjA0wj4k{1jIiDHWW;8G@G-ZJJXT7kR9V z0Sfci9Sjco{LNmN8|;*S`CzNy2_YY-<5qkPU&52Nq)}GWDWTwxECB5dWvB7pbi#2X z=c5zVM2&?UU`h@f{X(#xcvi`tb?GyE8}P}toyEQPP(J|~dCi^=82%kqZbOwhj~1;p z08dG~qQadFef&Y9N)PL#i9r$O{mgJU185=Itd;KjsxZ0}k_=tn@@YIQIl6@rgBEZA$xxc}+q!FZo;i%-yc4GCV8{)4ZiRh^3d8LZpfg@dh8myGQqURh zO*KcAN!1PCru%IBWE}FvKS{~ea0t5{Zu9bNTDz4ePrkJ}86m=Ag zncK~I1~e-MMyEj>7&?dUoe+wb$D;7ypGmy&!3_baPN=Zf;5X}p=Qz~j1J^#;?gyQA z)!)g7+N=E-he}n)d8ACZGFw6eDnMPlFRlB5CwvP1nEOV9|@u}EeVed9c*{={=ec!1nF)}C>?Q9Ko1HTUpHt#ah zY5E*`+cuvHSLH@*0-uun)9~g$8qgY~6L91}Dpm^@TC_jBB{3soU2C1V=ACSW@bb@37#cS-QQueWQAa zGSgl8%e4mGP5$VEcj)v=yo?gux|bC%h( ze1g$>v2+|&OFOv9pG#ELI|U;uZp3an0^Qs5H?lB0oIU0Dju;M8p~W?`&e@NI6ZvTZ zb?`*=cjn9Veh%WA$lHt&o#uCIJ4Wk_W{12+$`hG9jo#v=@9%aT(;R3P+||81mHq_1 zUOik!D|7po{jp6y;P%g9GIq4nGw=l=>3&cvqq6A;)8C9 zErEG;DD^&U(y(h&-;Ctc=6zeW%JMu_^}^g~4;y|wM?Z3yokR7+$GN9kJ+UEpI!s{s z>Z(l#g}9u#Zy+N?gk1hf&36`9)bR@bzLC`VZ}AWKy1o}7F65w(44h`!Z?E>^VYedO zTA7z%mn{D00rs9Z#Exj8Q%Ny%M8!a%Pky{oM`CyR$}~;QSqO@9GLB(TuGEK z`Po~XiP6$Kjl?@W?Y6x9-N()%bFL8~Be&Q1PLVpDBt9UnA=@`MdUlT%w^U_|GY zHG``&H+ZmicP6VkRkNmhtrg1AtsC@kwms2p3t3KgW;l30OZg;UthWnt%GBC5w^%VQo;O(T z))O#sA^kXDE3-JxU$fL(zfA3{)kLR6*(TVWe4u#X{Y#&;k-)a^mCCC>T^)gmy*xS4 zQcT&NM;zfAVeY7C<7kU0Qf0>V#F!90rGJz_#j~T_W@z;?_lO}oJuwLPretrjt>QFF zw`x$uLuTuk^8O6*&!M}vTtk^wS)QFT;Lc~$0{i3WkQ$C%`W4`RI|>K<;AxvOU=6U6 z5rB;Q;)bo?4oY(# zt}>sUcc$tgVMg;xb=L0*kE%)Aabd>jg8FsApvK6C1B&3h&FFFlxn@@mc9h(**H3f2 z32m8atPn3j)trj2AiZVDCJFCnv+Vb2XtC@GpVALyr7p!VdDnw9dn+zmg8|@+Al!B> zkPFth&!BeV_L?1rWA<*@#+dNp6Fc0k+HC8ZASQ71lUgc!-ciVkRCkaj3VTO=vV~e) zZf<*vVwP;I5CcqJ{T!6shm*Sy2@jpQ{&lHYqOADs_W75j3}NX9*J9!K z|BjvLf3Ayk>`Tm%Hw2Xf^lbybTfWPpvc8|5tJ)j9`9_hq+|u)QC+M8PmS73Z*tmI_ z!Ticw6DH>LMyx*-MMx4tci=?YIVdBh|*YVJNOO#N8+wVGNW)Zj(CL)LsS+<8-eNBv>?h3q3uc{U^ zvQvNj%^RN7iQ(63T{o$!6DL4%Z=O{M$pp*WB+_pLol4%PZ6|SjR!jL|1N_#`L^}|ttCC0LYU+al?pQk zyGsfsB&fT8YmpxClK^q9JflZ@LwH+DkfB-SXk8l zixpdfh3?dUv=`+Jc2*m5p8WauAG|q=)o&oNwhkOu#YyNmjgr_{=UN4_ ziv99TYXD8tFU;jTogUKurXLc77{jr;#>zGDPn}l03lXS~n=mgP^QP zeoE(~fE`=NtJ{j!1y{i6W{U#xgUbTx-5zxg>}bQ&2Zd;Gy3=b`4{rVY_0N+NbWP9@@ zgQSAgov+d+_1UVoLI39tbkA>=clN$?2it))HQZk6 z6AdPA_xKGhUkSa%z5$r8eb^V)S?6$pE0?2`RRB%4?eI&zs?}pPv>Gmr*2o^COB37R zL_lk;a}XXVQsm*AeIp-yYYK8ZuJS|w+gllbJ0iRdxJ{cv2%W~_*&jTn_?O(WE559* zs~ZEy{G1ioJA{92FhCP?H-TEeIT{|m@}18Gzq|(ywTQR~EHz1C+h6|9fIxUbr)S8Y zyijm-t%T9O33hbE&E>^mP9cg=GscDgikH)p1eP&-4KuFhWyTxG$JF@ zsgQ7d5%u|0kx$R^xZ048{|tqM8`H9UEeH%E$I&c#`z&uD{}+v|im|i$qae$VNNeS|7Qm`jQT2LLP$zJKhQUD~6N?c8Wk!Z+#Wfor+u$d%BOgnMdGWpP`D&G)8`! z*^Y(eN3VwV6(z3uBpzJg0AkToKYnVmLrAAYf@LRpEoBfizi~dAgm%vEyEF4i9()+K z@A5`aV2R{<6*v&*E}6h72v>7eJv!Ml7Tq9egh%+sp5Q!gSLIir2fw~twfo(c*SUAS z7Z`}bYq~94CUVDx?R|r2q!v=X(n;~xkG*uHB zamlgdjNQA)P25BJotHdbPuyX;u#DKY3A;LZ@-{>q0T~em=QMFizgJe3a)_F5#;FYz z?#^2d7F34*{PQ(&a&FbXqJqr5$!f73IdB$#A15eL^OUio%_hYR5&Yrjzf4Q0xlJqG4@N{ z_1tA#wwiSC{4mijD~>1-6+#N3j;HO@1;Zkqa~QE>>j)56{L;=qYXJrve{L>_`o5A$ zJ>ZgOs(VIB;sdJ(ffd3WxcmBI6QJ^z1r~)lPCUsI&k4AiY*_G~6vvrN#*uP*bb8=6 zGJ`FEI*=P#;6aFOMYpq9TarQ)(4oJ&PN)1dlgxG&iPoETVe1na;MHS(qq_&dWdueo z*qWJ1QoLgjn@UvZH?a(UmY63cDrLt*rx|2)^lL@sT)`ESAAs3(y`%)$Ba543(VYJN zcjd~FQOrhgC^sON^S-AFEZo8>owbKIl3x~_3CTQ@H=Wt+|KscwwO7Q{a3+`6YqWjv z&1x0=OeWDQpu7W;x>|UBy%(3QqZybiCL72k1D+ezJ$-*oyaSG>gJeawT951wSV6Jt;b7o=cyG0DrwV__ULWb9p`RgP(3ocTQos{Q zK!I6J)Y5n9XEzzSW560#tPPT_{fr3{;faY0IR4uqz4shg1$iQb2(@zd4c<1;76`6w znYbCeT7cZg6_;FrgX2YSlGK3N)RnY(4EgA+Mpf}=prLp`NN<8EA&h$3P?_ZM(Gy?8 z01}u!-Q?%qmHF$%G>NG=JA3NA-93<$L2gsU!G1o}Fef*k8yE((n;4oP%{8#IB;Hl6 z+B1`)$N^<x}T%ai+bR}jwmVrlUA{Wm)B-w zuyDj#Fgr=0w|C$~JQohAYM}0XLC18aUyIM&d+v{DTqgrNKj4dt$?ap#KyK zkEiaqd0)%*e-QP_ut|V$p4Od4RJk(9m9=H}+Go9p!M09J^Lk`d7^B};VWjk{w(8dO zCDwqjo1M4%SZ4i&qs!^U!VnSGI<+ZusxUI+d?2d<|DkEH=NN61?dcP8lhDq|>bgaUAVYlQU4C}O9o zUBuP|{ZIpxY?CkJ=GecdrH|z&$HOe12kiyHQOPoc=(9}?sJ3@JbdrSS^u1^#Gjti{ z>s@u#qqt=>Y_7`ru6n~|oPhAGNkj`^OINKNHtJor&Tz)HY1WHuf9B>SmREZ4T3jbv ziv5R7hZzoYe}0G5A($!Qynz4?{FGuShsB9?(*ci}rMpUwK_N#}v6+LG`IM9M{&ix? zdz2WL@j=l@I>Pbx{e_&31>Vlh_qD5q6_?dN3%bbQE8#C>w6H#M^e?)mNl#=R!c&2E z>TkwFgaf`o%^_qdNW8Nv9^AbB0Hm~6XR1+8^RV}%S0d%PDSs&o;p9~gkziOT$&HU= z(X1K0-B567C!6--=Xr!Zprd3wkoJK()(Y$6!$^ohkrE$%lB1KvsSya4wz#S3%55!F z))7Kn-ybS9cLR7@0zL0W4Ljat5*e>-`(%twhd9qH@GVb>Y|WnA`w@3=vSivof)`qG4Z(^s?)ZO)pd^IQc- z0wsK6E$%m0f3r`U4GQ;h2EbQ!@Yb}@C(a7W^}+#+z}xP*{L0SVD=rrp7X!UGwZXP(EoexbI4A&veKL> z)r*as-lNZ)_QuU$9)u82y8UHJ?0|nAEW7S)ODA!Il4riaju_jV4`1Z!1_J4gcWbBG zk5tic&hC{N96SPK{{8rjl7S)oOVDvL0{9WXwrQ>}xITLsEod5fT><76UW)Pwz?D%w z;twvy0M;25bx}TW3Fmwp!wiyWu$ejm!Yf<~@O?F3Ih zJ}-EW%_Q!_>qM%eCo}$H_kRpDM-VRp*4giSQNNFqwc;_C32gmKK`EV_zp(NV?$_id%v@s>y+v4IC$MwI@CS(5HDJjp|pY4s3izZe_&C62Z z$RFwQx~Yh60_y_A>73jX-cPJaC%mT%>`dC*w!AxIUR&VUkGxC_f{qIgR0k2}Tdr&a zU{bEsHcvzhq@K{IeJ{6efZQ=xBBvh4vLobwHP0yJaCy3yJ>ko2bygap;V?U+!4UtP%MUZEX0@`6F9Lo)e81j%Is9NrD(NAWI+N1uyX#)UAot-|eS)7uR%#=> z`XWA%`k9E@kQNc4(jn{piXs-uEZ)DvQ-Ra(BX|2UU#Ryn%CCI*YRjq+dDXNR%PX)G zD6JZ~8%rS|BGE@IBnOPy7UwUf#c;g~$M`dJFTG*R|h~{|OX*Wqn)Z`&m zylniy&yswZMK+TMEoxo-m2v@f3yy*Wzj~%8fO2O^q`lWxs|N-Y*>TDWHaT+IuCX;6 zH%CfG`?tBT<;D4_BsY9ZvWDJTCGgv_I)_!1o@#`VZPmn27re&ZwJ9?vEVr%?8~RQ# z@Lgy#+qTnbmqEX<^0RQ4Xu`TVR|%114k7MMZ|U#Ck4k3#8X7ztn+z#hwzSwtSWyZSeW_v-yFwIT2MGzKIIfK`ml5n{+Qh{(ma0+RiFN+Ff2rkGQIvQxLYF!9DI8GW zbU63IS~izw$2XtG(DF&pF((nL{jd`IR%XNjkeD}G0etorIt}7`d0=7sz-Qvme{5|h zo`81k{hJ=L65-^1duoP@sLK9*R(q^rxaXr+6AS{6X+z(gX;y+q#D?DSC7YWIy>JeU z<-$=uptY6e$P6jlrd{3lbY*9?{9?&&?@#|E*z2FQzr_vr0?n2-}?m!ngG!rP&2B z^;|JOH^=iM<$41gEP{NItB)#!fX6J74g8QDoSfBjA&my;Na+h@pNOu{KP>fZH3T>X^(i~7?e1#p5E-kSpH@X$ zzgN$XG=<2=C=1eem<4beDTD`$)7RD+vwflj$iD?UV`J0C>pr1FdYEhK(7bvgqn0*E zGqCfI{*m3TPfJ|?t< zZQs~0vqs4)%)eAN`lK@{p&0ft;AXzAq{q^iH2TTY9tU!&zj3oXNM((-vCww^n#^y+ zy!hA0o)k25HVi!*Uu_p$&QoW3s1Lv@VFY`ng{n z99avHZTdf3u<4Phb}WND@^{P&X|vko7vuRUNxkc26`92s&f~l%(LT@DySr)#;y27} zX)&W)j^Uo79? zm%>tTgrXi64hRkMs(r@v*nYwEH1zYv4e$=xN1G)ToW~4~@rot=eJ%nhP$(q|LGK4Q z6u-W6Tb{Wu;l6V_BENw)6yFcqzq?NTZERyDF_Sj*YBGkR2#>sBnQrl+uQ%!n5!3%Y?bDkV9S zcEeNI4pQF>PH=@9I%*`YXW@_1~|PlT=uQvg$@z18hc6 zcK^ImH=+*(up_V*Tc$FwUK*6$)Oj)68!OAqNto93LA3=!Vm+DM`^71(_e*w)9JA7< zqwZFFxSA^2o&B)FFJ{YEEmBRQ{AJb_Gy2aNErba#R$VG}U>dj=Vg~BTo356=r#f|r zQ%3$Scd=7E4yZMN=V|j{0|2N@0rdAoaF9!|9#TDzxRFUoT6$^BKs;* zGK&K6QFOq%Fps4FPtCP?A(7fo!Ig=o6+!8-FM(gD7-jjM=FJ(u@h6&dl5(Jb*zwdK zv7!Eos9M&8aO=IN7SY!Gr}ny+UvfyPTLXS}{=TXBy{AhaHjfuT?yL=Hj?ohNAYpGv znLj78#ZRbs&#A#s5`hqFAZ%(b)A z;V_vcYUW^ZO?;F!t|=rzFvXKHyNQd5u#3l;CBGnaPY<4HBM|38QqmQf!28pDU{~^h z5#4bX<7jD-Iu@79r$uXEOnJbv9gh>Unwj!&YIT1|#20dg00fo2v{V^rnmyQthq&oG zKCLZ~On#x|awK>DS!CLxGG=1WrXK*dR3ERA=F=MKq4dS-Em3ro3^dgL*|b3N;OT3z~7!{uOiSEgiEYzj@x z{I(cy;M>D2{%1fs&~DeLac687j+fpJs&sa2lzr9UnA~jLVRiE#d$3Py0ucyPwJ^@O~8N` zV)F2;Z%JTO)#MAX&8=sJn`z&ql7RON$68@A$eZM;T0Bs=gl;c$tZ|a1Q3XI9d67Yx akDq4$$K>R=4NzzilZ!{Jhr;`hY5gC`^*$H? literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.cmp0.ddb b/SLEA/db/Chronometre.cmp0.ddb new file mode 100644 index 0000000000000000000000000000000000000000..8634c2488ead6bd19b585f7f38a55ceed11186ab GIT binary patch literal 5021 zcmeHL`9Bm|+?F*Z%Y>{MdnO^<+!i5pElI}ASjJdls=M z;gU7k_lu;mOeBV^uUq$h|A_Z4;n z9-uw3z)LD>!0Uc!4_BbNh89pwTlFGXRb5T(63`0ec903p*j~?1IOR zp$9@duk!=y1cNNHeU%`ETQa~rL8a^bg~uVO4m^4MhWv7=j~*p_i3nI7-(|j+($-4ObY~M)x!caUo45~ShSpaQ90X67eK$aelY=vmOrM5oy!)zb z+?|JvXWwgMnBnjwjXAEG_L$j7yTSG#hTl~^O!U=M9}g>?%Ep5ZHeo7T(fzZoFY-lP zy0$Q3eIo%FWEayMOWcy`tA_uT?W&w(ny1VqjBJY)Zqqs`8U2Bsc&h5;K{yH;uoQF< z@sl)1@D4lBB>f2QYX8AMS;}Z*i@#&m4G0cPUC6S?<;q8{>&f*mBG&ba9qChtnOp}5>%GQxTp`@IZW zE;DeK?r$-}`C}1!{RKO-wFZdkhsz_O4PifYnhzSqr$hyrqj6g)lV9m=SLTMHZTBZ{ zNhSrJM_Z7X$XDfoKTf;v)Z93E#PEno-jCydP5Ly&tTwn?FMRi{(YPj&Ps+_6_J_Zi zLK98$YO*)bSH=`PCa&G7G{jUFBFw)I%=>%Pykit;N0wa@=jN@F719I{mCZNTww^=Y!!X^rg6?xHR=gRX!b-?|*?25}N&CtZmF%X}E$Gm|{8 zLNAg=2DTxsayrpEo&(Rp9Fbw2manNVzUQ`tBTI|Z#Uu*e8U5K^g&paR55L;?(QYBP z>88?M^?0oxl`VPu7qY0CWdo;n&DX#ABtUh=ske|skIwu3@{u~SgH}BCuA_Bu#wlzj z{o6;3P?q>$Ca~j&6)$$|%q1bszthAEP-;30PVWu`oh_Vobw60y`){w+!2?=V4BqUM znnB>ZzThtFniBUkkVvX{U9gVP$VR$Hqvc}z2B4=f9Mb_P3>Zo+ZOZ^A~s!M{J) zjhSrb8AWgPzDo>s;M5pa2J|SPJyK-$wq8cUOA}KxH`TRMpF)Vk)m^0@HVAb= zCPpKgvFs0s9jvn`ZU<;G=fmjCFc(F7225GqwX3b#&68y_fZL%2+AB+M071S)xfQZU zV63$w;t@5~g#<5$%I=ZtrTPrsJcne`JD6b%l^^=UWDO={JNN)_wLoUMyQyGBsVSY% zK)xp*NTrgc0m_+#sl;NrzmeM z3xk9-4`k@AX<@cI%StQxZ&dJufrhwPs4HE&lG}}l2W_osolXUtr6oH;aGr&r)n$F| zgOWRoAvI)ozbLa(GODnve4TA+xyf{R0H9nfBeu~bm{6^2oE9XK^7lFfWxiEHu0Id! zHl;x7K|HY`^0i2#%Ns3-<}QV27DBDkwcjO0CH#7*ex%FF5~0OYwFaCTV&_fQkV3ZK zB&F%3G;G;50Wds`p3si*nHLuA$%a33IxQp{F!r___MS^Dz{JZ4FYaD1zQsFHQVt_{ zb>&-@%SYJ`np6G4juuQQNpVB1v+btrVxJ^-d=~#==2ad?i!HYqUHVd;!+xc-Bm4dHS!JP%!Nb-p)dlR@W|+1Z z@v8`&2F#GJ5zWo50ueyh{z!iuHvxyVDOg9RszV9N_?OPObUX=I zK08-R^)tka?;2Aa2`Mt6TmdiH-<23Y@KmcMvvZX^&VbY#aQ*?uy@E40Wx088?hcf) zNBwEy<%)NU;I4xif*Z&M^4m}1z8;ab!5M&dFWch`&hPG2u(TNd@A15T{3D@J}(6sB{aT{okzI`2-zA+s?d``cVv9TxXiqq>c#1^ z0ssk;%!30UH`7``#}}NGGHW9w;Hg&R-xwx@B;Yw)m85`(5P~t6WoDL?DnhYD1njf+ z(GDeD!c2D}L-8+qSdi~D)2qm{UEWl`l(;`+2O?jirN+gIWZW-X{v#MqtQjRXZtN51 z)8r!)M(t^VNFtb_NWYa9wr`Icgc^cBAw`sQQ=LlURvK=l&7J{Ki>Bqa_3=wQ-0z_R zs`#A~=%6#){^igd6?#xw7z0H2FYP*&dx1^^QTeAWaSWJi-uvWPR-x}YZRi#LI?H6y ztB$|w1CAp_RqYo%ZIugbIdRC}x|=;i84}ing%^mW{6wYP;A4#4FvOH%wTUas5bpBZ z0%R&wngY%^>W4+IOdBUh8H6>gt|Hn4QzG+Y&6K;mCSQ1Z%?jRCig6%#5Za6GiyBfdXE&G({4y)-mhk6%yOqa>D*2j2|ie$7)U#T^PpQmHe z2*dI=`02#iITBzhnNSr{c0WOzH&Nqe4&T{wRRr^i?RdQNazhh}fx4Z7Y4ADwzW?GsJZ;BQ*UDZ#_g)6ot9Hy zp}``zeg>$QY;CIl@IsAe6FsB)ti?hbJo(O~kfa%$h~mBL^>nW;i*6^aRt{J9T>mJN z)dAw4R3DQuw@20Ttf!~PlB>jd&R$cDiZh7lhU+})4W()kg;0JH2L;nkYin&yIxi8N zUsfhtM^S2$O7zwY)NP&zd|#fCvOc|jIWiEU9E;4NTdHpt?R2%=)fRnOe#9-GJ!ZGMXBKiC2vf9nX`XxL3iEadSvnEcnFSZDc&$er0 zRg|7F(;6GOrUl zp$V5i-`#!5_zWN11tbum<|=d+p)5L|oCx_Ng%3+~-?Tb=PCp~A3|+=9d`5_%4;TXN zW&`y}cQw_c_T|nof;Ugpv~y_a_gfg*PZ`8deY=Az_X#zypHAYg5O{haczW9A#6%{kgJ>`yh*rE&+;E~ zY8vyRdGy%CdL(`&TRP9?s34Gv-w~abGX$)3bIK%{+R~@8mxK&wlUy58yu$ z|0|^bkG&AeBeuV?-H)<$gY9D`-4gHKNJ9mbfolfG?%E;TjVkh)V>PrABlo}a*?jGn z$Cg}t?K9#idt59mhlhd3d{cDH*!1~hz!|Dq?VivCOG^p>kjQB$&^M)^(m5SHf6KV~ zYlN{pXT-zkL%rilT6sW!Hy0OY3I$%K%zpBu_{n?i@h_in-raJ_4f>KZZqFgQcb$Kb zzvcrfH=__^ns{a?WoS6xJ~gJ)J8RrGAvCDWZn^zLJ2T8z$?FD?GW5|#tz(Ir;iJ;| zjPB{`JAC`W6k)YNbyGkv@43Lx;`O8pC+bZvj9AbtGxUhPaIqPHXcCAmb(4@+UW75e zub3b~bEMf|c>tM(&ns+e3;4%c=FLtt+ECIet)yCi@;3KBHmg!q5ZDV{I}QG3nQifz zrtI~^V1*;evp{nKdS3db%+RQBUhLybzz>(}q!U*~SH(N8L}%ZB_Luc~58VD-*Qs{^ zdv}LzxWz(F!EyAppQ11J-u&h3G4bA{)@Qtp1r@8dYIsGJhaBoi7#KA9qg;`x_;+fP z$*VqJwa^^cwgpdh<<|gX6Gtv?J1(bfaAAA`Dy7$LY5w9(7ZNM3Z*kmE+w5k3lO$jA c(8-=b8F`*4#G|&Ekz6)i$+t)UWrv6V13g?uO8@`> literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.db_info b/SLEA/db/Chronometre.db_info new file mode 100644 index 0000000..7cb7ec5 --- /dev/null +++ b/SLEA/db/Chronometre.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +Version_Index = 167832322 +Creation_Time = Fri Dec 08 13:44:11 2017 diff --git a/SLEA/db/Chronometre.eco.cdb b/SLEA/db/Chronometre.eco.cdb new file mode 100644 index 0000000000000000000000000000000000000000..1f96953d0895301fdc251569a1ed67b269966051 GIT binary patch literal 175 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXAn<&r(5U7Pv43kDhrT#)aBwvk@Nmxmj`%-Y$I9Kq&c&Hpke!oS%FE8tlA4=`kD8O8 zgX=#IZca`?YE3J5Z#xSsYBe(p2WoC=O$BOQD|2dDOFPehVKpf5|1{v>8p!?+z=eMJ zAAG0$AM}Mk&q2!uXy**`ATo{7Jnp~&Wh_5g%g=3`VCT~%6_)`{JhO^XP*Pgvb2w|1 z9%n?c_WUt7+4J4~&TYDMB;5v$Ha6nV!gt|!zjq8DHD1&n`LocXqla?7yl5{fQdH=^ zE2thG7i^ZXXkT_+zVy7m^b}PZFIH`~dmK#-|E9qIHF%Tt>G0^VmA93R7bo&j9f`w6 zdTu#t8T(I52y{B(hY|m(ocGVw-pxyt$O3#y$-HxK>4xRr<+vf8^sWH!`t~L@TWk(q z4g>os<^QN6Mi>E=)=z0f#C>j7^%RNbxWlRUw~A|rM?*(xM4ygqu&zE+6nuC^LOPPG zv`FD%pikafU*{IqwI%wOMCPRI2hA!e5C5PKq@R0$ ztzOD5ogXbJk%_|DU%4Nmejg^~x4YwfMfO~asE-ju_~xUeAS;T8QW~p;Y8EfX94*Lf zQ1RP>cuBvAIdiGrK|7o>8c}Yt($pr3QmR6j4VVa=PnMT`I(GRwsjs)XXh5q0Pha>t zh0nE6CWBep&5D*4d(com&N>wl)IthoO#kxnE(JeQ8Ltu>;wcfK-cH*u_7V?T}kO5}67U)be{vdXkd zOD5w)ZI7r<_H*@*f-T}9Ur1n(PwrWoNAK_8fE^f{~#Ik2AAA#ziN^|xu+6zxfMe#<0*RyW6Df&VqY6}d(pb=X&%?+fQ1>r=TvQUzp6=A9+FC*DO;s0j{Egzb!ON;|r*%T9 z`l))Di#EL0jo23T^IBmZb<{cy_S#4A+ip{6q%1F!A=*x}cS(jWK)s&I0?pES_1^ov zFE$B^l{}%+sCw1J-Vye0noZwK=PM+`Zwrb^i`HM~3=EerArr`V!TLQ;g7M zcwi`8iZ(N<(8!#eIT4vbl4Q&nE3UaUE?e}zy9r$&ZuWqkM_KGBKkHlb+r(QqpdUiN+qSw#?g*2|!Z18%= zayPVBhA;0_wHj*k+x-x^PVmMxS^eS&ce1gcv3>)kvj+#d&ra@n@H=NG&M~l}^EiI0rre9}m(ejkeWWKc-+r zN;;E;do32a++tzT>ucU0H)V=F1n)t6n=p7KV~$JINRj*Uy9;eixV~b-aAr8+FRJ6j z&%SgLRl4O_l8iu<9S)#Azr`LGG6^F-K3Ll$z{ASOqST8!RHc8&;7brA5Ne0re2t!| ziJ>%Qv3d9e^~)NL>yI^ona9qH5(bU!VsK*Gyyki~!5=Lc`&||tY2pZ-+EWgi*9}RQ zsaXHqC9JxTDRiAjcqyXrK3{+G>v5-Ww>(#{X|t!%&5soBpKNJh_7+}EH~C9(Y#X%0 z0U0R}5r=t^3f9ex%wC^U1>L;+)(KkJrOLf!7E`IxqHjH(OUEwjEQ$>y9_Ytg^!GPL z@s;%nU|&5np-C(K5m+l9@IxYt^6N>|y(MFA$v4mNn>``%_U9K61&mp&!&i_XDl~EA zr%Zz{b;WL%jGrbteGYhBX8sW<|A@ro?g!=iJ(X3$i#GjIt`?`2%u*dnpOXG}Qu0Q+ zy^=9HqMFP&Zj(KMcLADvK92rMX86Z2J;vl@Qgc)aS;3s7(_sMPJhFO)5^jknPMR5Y zi45{#nL@hOC$aDJN|lOvrV2)n$Ql`Hg4kh+DVW;7MkPF&+3?1UD`eEznDr~vtdzo; zEfkF0QNHq#L@U@CoL;K4dN&(dB{5Ui$t-d`K3d#TPuWt6Qv=?k#H2p|tANtZQMV1v zz5fbFrWuE!Q=F#7(D3tXxdoT$RX|o&dHmBL&$$3+DEl-*Ux8fSEJ;Jc;~1*T5Rx`b z1-Quppn?nS2|<{oUQ#7YH*7EcpnkpFqXlF~#;+dJ(MP?6`w{*sPvoA*wC~f9@MG3- zWjBIxY}NaPp#7eT1@LKnq&NmlEdTpplNF;~A1KCpc#DVYXasGfs+FibL&t{ zrtCe{&t7W?>T;ZXJJ7Z2>ShOQ!S!)%Oh8H!`#oCenc8au-CYMrBe#-4F7O3-P28}J zL_AJ+m<%uoovv*u_`@y}6Sq3ckVms`bDLRVcGZyLs@dI<{2x96ozUykd^`N{w6KcXdDCD$Vfkv0@FEwz6L)yIuVK}4y+OS4vRWAk-y{MtGh8K*L>EgV9 z<3-Y4#xmP;0=(-oih?=m-UV(j-E`K0K^+ReE7y>!K-{-dh-hLi z(5t27W`2~6j)0?Odf*cA+skJkuiIq_4>#Tc#82GM7OBPg z!VmG0rZBXa8CIA+5GD&0qXC#8^)Uu6n%5du7Wnuo7rmM!S_SF@FA_k)R{Jd6?rAXr z+Q4oGfJqw2Wn^T7`$n1MDK#d5Oy2eKHI{YXn8c5GNu_pen*(Le{uJg;pJly;FF)WHVj#Rxv+eeUw;H2 zH!i3|p-Zpk*ag6WG7MtN_=#d0h|Hvw4pVBOfP*1092iH~_C^QOqx%V>T143Ei9bD~ zbFvEJ_V3I2k>Oi1>WjYJlo-SJovR2ONp`4wg zzb2F7biwR>^+G+Y#GP2ANq6{n$L<9qE2R%TEG4Wr)3l#SxkG+@%$&oLxH_6g)oN{5 zC%WM$@#~BMO9MC2!T=!e#A_G0ZH`iL2QbF0O~`R}?}+y9N5b_V2a5eA<}}7EPN>9! zTUcD7c3T!Fg?R^EUx;o{6h}f@MsU8$mqUFL8yS?sz?yF*>P;wUv#l zhcfhufz@&DddWgAQC|=O86Rnu_=_*e-R!)bLE)zd4+;NXi$Y+?_FqUo9y559^^;fo z1}bK-q-yLI{o%c{{>V4W{Wpnnx4?M;Mqy9VExJmNM%iVYgRTZKCVVP{?>*F=>&)m+ zRJZ}6DLCwmiYWoj0$-~aJPt;2r&nOQI@>Q2zKK*+Rf~*>*7z47S&E1!ht;4q!vHbk z;2{w73cvb>9P3=om%MJflIb#t6uy`34jG0tU6}ff=3z#(9N(A@peZ5n%-iacW3tRI zSVVzKOk)XYuyo4J9dHNNb&3^CLp9fv@l!>?hZCV5o#l?{S-wevkL_IlA-oeQl)~{1_HzwG1{ep7s;T` zyPq9jo``T)#VWdBPc8DVSt-iQ1%x+77-;EBu-uqe31AQtKzs=>#w3kNFL(vHX*9>!2PhsT>o!{`B4S zv)i70LH5a6vQ7@f+#kBlraifW2eOkAO>M@g_QZNl7_tKbbdVqtKWll^smW+K08fULE`)8X4M!=i7 z7$Pl*C`wWvM1%2J)s||}GQ>6D4~9^9lt^yVr>dgLU;d9rBfC>6pj*rR7492yYH-Lv ziCk?WM0`h7oGuM(^!omd^QjhR^g!Aj*%kbb9*}K>`Pq>;dshDnb4hMp_CG$((n6HS#uy=CUsb*^XLdYcY{9A+G<~ex)-=Yi;?j$ zJOD^1#)97(HIOHX+7he;R0$D9`do&H*0P$>kl-b;zf#yJtsbSO#Z8ccE_)wd_$;lC zSin@vmJ0RaVJdilAt43BPb*OoMajwR!rAtQvlr2`Htvv?kJd-SQr4s;H5uPDOZQl% zf>GSS^4V5+&w~5SLYwL^1-d1RB)T|y3u-ZTGa%u$7k3>Pk=VkTsnMJq9|zz ziGutxvBU-RQ?UuH9oSCj+y0P4E&UU=tJj+!3$>^+b-!m+cAdp3!EU1j9(K`zP` z+A_nGV5biI;goj(lGDb7j#$aPR~jH0XFmxsO38#4M5<7tah8ZUdZ%X28Jjntwpz0A zIhCNcpNZhV)SJQ4opsv^@Ck0R#v_=VHBaMO5V|aoHg6cFWwH3hVhMz%{}6X1r_?8R zruRp4gExa}G=rnhGYfM%_t}`0z$e!Zkn!>v| zeQP)7>;VQtJ#(AhC>b(@SC%1EWcK>wUIdoR+$*^s>VyPlJIxMfbyEA>Y~*W$YeUfW z)bBu$SCiFs!li~`3vcspCp$N9?=(?s@xs7mGxWx^X?FfJBJ|0f@Ix!b$@UY5p5Av1 zUXm8=AjH^m_l155H6ozGaUL$jpM za>zN8sv=H%NX@yPcVggn3v0$ycEh$CK`c)g@z*UPRH*10F;c?9UUfyK2ORR`hKOiHop))(j#fyN(M%-AcrX)3_ADylO!AUtzzUQAqBCQ>iGi9GcQZ4lm3#@= z8TP@m!xe?9ltyPO`ewkzV?rl>P0iQ>AV736(;idlk?&*d36OzjM&W_)<+=1lji_Ic z@P545LAiZEcOLtGwe%%RQ;k36-$+)(QnGpez@s@@aOl~%0vTx{olk9mQdGsQKJ&`F zE9HLh_3v1OY>_MAC@JHVM3g?4mCo}>*DU?H`(qkhx>zfef_A&@x_==E+x6?3m-vw* zNfc{s&5(3LWm}q6QDEso4Wd+P?U~vqn1Wxt(1nFo_>kVCu1MFwfXtwaaZKxoh|C&G zQZ|kh5=Ul`y=vFW899JMm~MYBv#(Cs#@hNhsYZtztX@`Nxq#Aoe%0wR*Bx&Nq8sa{kvNqa(fpPm7lhr~$jIeWqwwQFb12|6!)Ku6{p# z(#j4Rc7=Y#?8=VFYU7SL|K0vAaZdn;|Ae>*IQQLVgHiI1ga-t-* z%s*Yc%o==L?2eRT@=1=OgRO{4bt5$?GOwCCClorE+|m_#zUI_DUe%)#=m{;=Jy_jv z_w?Qij=p;MVkG*ZIVHa>|M^_I-Rs~i<#jlTe2IR1t%^I#OS+=|pKR!ASS|ZxH#lyz z@wK3c+=vPi&QWIwZgf8)V~tGm-|^j8F2sNwR5fPBJz|((m5^*YWjjxxR@xZ79rwL? zqF25Z^#Li70AXEOA{OgWKI|p~skkQ%j zthYip5@J zkxeTSGLKPG>m;FDvVz_Q0gT5y`-*BPace4#_Cl{`u$xK`~AaTap)CJ z|2s)!59+%O1q|Vp%ImMg)z;zF(aw8ze-9;WTKHA)@3pG)>a*p}uy}?2%ka*7SpU1> z-=6KOJe>DW6hW*slR=|9!Thf#-hZzzEgO9VUXS{M%ld<{UrpTpatY>jjqePv=A7$N z1c{J@jIqEhr-j2V_ojCA&KK*S^9(SL=G6$NP6E9qUR1p%82wJulkQH_UDV3=0OZ2~ zz@omVV@ZnJf^wllV~SgK0A+x?&Z=vj1fQCT@tIK!77j6S*&B+7dVpo#TScJ23&Qvy zOko(d?Q~|G`j!zFPttW>``qQ{2F}MQO5@5yPNl-%(iVM4xikLenqB;juq9A-SU%p? zQO08e2sM3dLA=zfem;?BV~bARLV_<2ZBt^_ezA29ZO@=l zkMNMrt&{Vjq%Eg5mF^3_dUo(yfEQQ=wuufoS*g0}(ymQObg4UEXAu%XF;xgIn@?h~Cjz&Uk?d2Aa}o-ANK zi%39wx6MS{g^O!vQbpNlaF`_2wbZ(e#D@lA-?qy%UI)uykG3Flg8-m? z57sS$k1y*NZBw2Bb)x~TCx*IY7DB@8Ohz{AFf}>vmsbNl+SCIa3aI&Tv(RcSg%9ra zzlKV8R$a4Fh2$AMyfrGbw!$8KI+0R1qHn3{bfb+@*ACN!AI@=3QXLvo64}e4waMIJ z^GP<)jbLmX``eeq+!po=FQg_7wJcO-6SeFd6Q6tB;$Liyx1?68Wf*f-B?HJSj;g~o z1X)l!(I2N~s%QAgCJDKcZj#(k0Wp;3VfTlip+R$u+HV38JRG-ilapCH7jFHjKXg9_ z9*|9&HO3S-9&}#fkkn==a^Ejp|L1k=GUi9oIDQk!F5k_*709;U)Nh|k??5odoJKN* zAAxZsI9wN$##qKs<5F6ob|^8xvn>(=1O+JXU);q%Wwj`^;Ji1#>xj|~sF5Ms8OhB&1yb8=e} z%ISeMW2DA~xr_6;gs~9y>1cnQC2mPiZA57qfTJbiLu5IH4FAtnc($2&@9nNMH?KxY z{|7BGqK6Sfj2vQU2gK6`#0VQL^zA zr7A~*z2-^ER=cj-W>=h9TN*UQhz?U@a8nKpX(REQ~avg`;J?^$^$*Y7QJSdeGr!#)3CzmG_g3i)r@@Q z!Fw>l?$KNd8Qj9kX@j9t2>nV~69$tNdjFC(iKf7&Xe%>nYkk%|hd6 zJJWeQLN@+w6-?&yWAeRMlwS^nKT9@fkq-xZoo^Uy9bznuW-%0-9N3*#Aa*0{e#3qa z{`ZOY{_YDOkTR`EU`9M_qU^*M>{wD^S6b2>T>w;+51pEty1+hvH9gFkL1idZ)Q>Fu z<$tV3(GiwbuA;Aw8ZLuJOoJp@)^Ug8c}lB}szIl57qFG#V?%Hf?z8EYJ1uf~yZ6$~ zP(>Uo%DZMq)IegQ-tL^yJsG&+*=}B$Sx4s0_3nLWA<6)~|`PRqBKZVA@`!(S4yM!uAq+XnF`eGTAhmX;u-`Ksa&`1A0NR#u{x6m_^y!O+Iqr8#Il+oGfv0#Q^|;lggq$K@Tgtb-DCeje2ZbmhFzTt!Ci_M0gLHdf zZ?n#NB+OxMq1G&udLd*tq+c)2R@gP7bql#(rQGl;zLWmx;`830QsFKL==D{V9JK`q zoWOFcaa9VCFkMkG&6sR|@9vBK^-5#V6n{W3H4#A!J~q?(-g%k(6d6WHKk)Oi%4Re^ zcb>28W-JLWjIx=}*jpE4Uw#98BAU0sAaR0AT}+0gH3Mj*XsL$i+}c# z3CN5K$<8jc$FR|zk3?ov^#275^_09tBa<=;>@qY4DA4i6a#)sO4nEY7w_~bekz%%f zmY|=MGLwh6-UiKT5YiCove`y7a0fW$e_~bqX+w=lhbB6zHDGf_M-?R zsv)F=Ogb9T>FA@07)K*B=+N#4{%e@op2n?M2KBEsS Yht22KIXHf2v2430@GY+>|GSy~7tFGN6#xJL literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.fnsim.qmsg b/SLEA/db/Chronometre.fnsim.qmsg new file mode 100644 index 0000000..1123784 --- /dev/null +++ b/SLEA/db/Chronometre.fnsim.qmsg @@ -0,0 +1,13 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Functional Simulation Netlist Generation Quartus II " "Info: Running Quartus II Functional Simulation Netlist Generation" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Wed Jan 24 16:27:47 2018 " "Info: Processing started: Wed Jan 24 16:27:47 2018" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off Chronometre -c Chronometre --generate_functional_sim_netlist " "Info: Command: quartus_map --read_settings_files=on --write_settings_files=off Chronometre -c Chronometre --generate_functional_sim_netlist" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "Decodeur.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file Decodeur.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 Decodeur " "Info: Found entity 1: Decodeur" { } { { "Decodeur.bdf" "" { Schematic "U:/SLEA/Decodeur.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "BoutonPoussoir.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file BoutonPoussoir.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 BoutonPoussoir " "Info: Found entity 1: BoutonPoussoir" { } { { "BoutonPoussoir.bdf" "" { Schematic "U:/SLEA/BoutonPoussoir.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "BoutonPoussoir2.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file BoutonPoussoir2.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 BoutonPoussoir2 " "Info: Found entity 1: BoutonPoussoir2" { } { { "BoutonPoussoir2.bdf" "" { Schematic "U:/SLEA/BoutonPoussoir2.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "DiviseurDeFrequence.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file DiviseurDeFrequence.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 DiviseurDeFrequence " "Info: Found entity 1: DiviseurDeFrequence" { } { { "DiviseurDeFrequence.bdf" "" { Schematic "U:/SLEA/DiviseurDeFrequence.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "CheminDeDonnees.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file CheminDeDonnees.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 CheminDeDonnees " "Info: Found entity 1: CheminDeDonnees" { } { { "CheminDeDonnees.bdf" "" { Schematic "U:/SLEA/CheminDeDonnees.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "Sequenceur.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file Sequenceur.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 Sequenceur " "Info: Found entity 1: Sequenceur" { } { { "Sequenceur.bdf" "" { Schematic "U:/SLEA/Sequenceur.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "CHRONO.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file CHRONO.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 CHRONO " "Info: Found entity 1: CHRONO" { } { { "CHRONO.bdf" "" { Schematic "U:/SLEA/CHRONO.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "sequenceur2.bdf 1 1 " "Info: Found 1 design units, including 1 entities, in source file sequenceur2.bdf" { { "Info" "ISGN_ENTITY_NAME" "1 sequenceur2 " "Info: Found entity 1: sequenceur2" { } { { "sequenceur2.bdf" "" { Schematic "U:/SLEA/sequenceur2.bdf" { } } } } 0 0 "Found entity %1!d!: %2!s!" 0 0 "" 0 -1} } { } 0 0 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "" 0 -1} +{ "Info" "ISGN_START_ELABORATION_TOP" "sequenceur2 " "Info: Elaborating entity \"sequenceur2\" for the top level hierarchy" { } { } 0 0 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Functional Simulation Netlist Generation 0 s 0 s Quartus II " "Info: Quartus II Functional Simulation Netlist Generation was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "190 " "Info: Peak virtual memory: 190 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Wed Jan 24 16:27:48 2018 " "Info: Processing ended: Wed Jan 24 16:27:48 2018" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/SLEA/db/Chronometre.hier_info b/SLEA/db/Chronometre.hier_info new file mode 100644 index 0000000..bc2b8e1 --- /dev/null +++ b/SLEA/db/Chronometre.hier_info @@ -0,0 +1,471 @@ +|CHRONO +A <= CheminDeDonnees:inst.A +H => DiviseurDeFrequence:inst1.H +Count <= sequenceur2:inst17.COUNT +BP1 => BoutonPoussoir2:inst15.BP +BP2 => BoutonPoussoir2:inst16.BP +Reset <= sequenceur2:inst17.RESET +B <= CheminDeDonnees:inst.B +C <= CheminDeDonnees:inst.C +D <= CheminDeDonnees:inst.D +E <= CheminDeDonnees:inst.E +F <= CheminDeDonnees:inst.F +G <= CheminDeDonnees:inst.G +a1 <= CheminDeDonnees:inst.a1 +b1 <= CheminDeDonnees:inst.b1 +c1 <= CheminDeDonnees:inst.c1 +e1 <= CheminDeDonnees:inst.e1 +f1 <= CheminDeDonnees:inst.f1 +g1 <= CheminDeDonnees:inst.g1 +d1 <= CheminDeDonnees:inst.d1 +pointSeconde <= +pointDixieme <= +BP1out <= BP1a.DB_MAX_OUTPUT_PORT_TYPE + + +|CHRONO|CheminDeDonnees:inst +A <= 7446:inst7.OA +COUNT => 74168:inst8.ENPN +COUNT => 74168:inst8.ENTN +H => 74168:inst8.CLK +H => 74168:inst1.CLK +H => 74168:inst2.CLK +RESET => 74168:inst8.LDN +RESET => 74168:inst1.LDN +RESET => 74168:inst2.LDN +B <= 7446:inst7.OB +C <= 7446:inst7.OC +D <= 7446:inst7.OD +E <= 7446:inst7.OE +F <= 7446:inst7.OF +G <= 7446:inst7.OG +a1 <= 7446:inst4.OA +b1 <= 7446:inst4.OB +c1 <= 7446:inst4.OC +e1 <= 7446:inst4.OE +f1 <= 7446:inst4.OF +g1 <= 7446:inst4.OG +d1 <= 7446:inst4.OD +pointSeconde <= +pointDixieme <= + + +|CHRONO|CheminDeDonnees:inst|7446:inst7 +OA <= 96.DB_MAX_OUTPUT_PORT_TYPE +B => 27.IN0 +LTN => 27.IN1 +LTN => 25.IN1 +LTN => 29.IN1 +LTN => 13.IN5 +LTN => 38.IN3 +BIN => 37.IN0 +C => 25.IN0 +D => 14.IN0 +A => 29.IN0 +RBIN => 15.IN0 +OB <= 97.DB_MAX_OUTPUT_PORT_TYPE +OC <= 98.DB_MAX_OUTPUT_PORT_TYPE +OD <= 99.DB_MAX_OUTPUT_PORT_TYPE +RBON <= 13.DB_MAX_OUTPUT_PORT_TYPE +OE <= 100.DB_MAX_OUTPUT_PORT_TYPE +OF <= 101.DB_MAX_OUTPUT_PORT_TYPE +OG <= 102.DB_MAX_OUTPUT_PORT_TYPE + + +|CHRONO|CheminDeDonnees:inst|74168:inst2 +Q0 <= 3.DB_MAX_OUTPUT_PORT_TYPE +CLK => 3.CLK +CLK => 15.CLK +CLK => 49.CLK +CLK => 29.CLK +D0 => 6.IN0 +LDN => 71.IN0 +LDN => 8.IN1 +LDN => 27.IN1 +LDN => 50.IN1 +LDN => 11.IN1 +ENTN => 66.IN0 +ENTN => 114.IN0 +ENPN => 66.IN1 +Q1 <= 15.DB_MAX_OUTPUT_PORT_TYPE +D1 => 13.IN0 +D3 => 72.IN0 +U/DN => 101.IN0 +U/DN => 93.IN0 +U/DN => 97.IN0 +U/DN => 67.IN0 +U/DN => 102.IN4 +U/DN => 102.IN5 +U/DN => 86.IN1 +U/DN => 87.IN2 +U/DN => 77.IN0 +D2 => 28.IN0 +Q2 <= 29.DB_MAX_OUTPUT_PORT_TYPE +Q3 <= 49.DB_MAX_OUTPUT_PORT_TYPE +TCN <= 79.DB_MAX_OUTPUT_PORT_TYPE + + +|CHRONO|CheminDeDonnees:inst|74168:inst1 +Q0 <= 3.DB_MAX_OUTPUT_PORT_TYPE +CLK => 3.CLK +CLK => 15.CLK +CLK => 49.CLK +CLK => 29.CLK +D0 => 6.IN0 +LDN => 71.IN0 +LDN => 8.IN1 +LDN => 27.IN1 +LDN => 50.IN1 +LDN => 11.IN1 +ENTN => 66.IN0 +ENTN => 114.IN0 +ENPN => 66.IN1 +Q1 <= 15.DB_MAX_OUTPUT_PORT_TYPE +D1 => 13.IN0 +D3 => 72.IN0 +U/DN => 101.IN0 +U/DN => 93.IN0 +U/DN => 97.IN0 +U/DN => 67.IN0 +U/DN => 102.IN4 +U/DN => 102.IN5 +U/DN => 86.IN1 +U/DN => 87.IN2 +U/DN => 77.IN0 +D2 => 28.IN0 +Q2 <= 29.DB_MAX_OUTPUT_PORT_TYPE +Q3 <= 49.DB_MAX_OUTPUT_PORT_TYPE +TCN <= 79.DB_MAX_OUTPUT_PORT_TYPE + + +|CHRONO|CheminDeDonnees:inst|74168:inst8 +Q0 <= 3.DB_MAX_OUTPUT_PORT_TYPE +CLK => 3.CLK +CLK => 15.CLK +CLK => 49.CLK +CLK => 29.CLK +D0 => 6.IN0 +LDN => 71.IN0 +LDN => 8.IN1 +LDN => 27.IN1 +LDN => 50.IN1 +LDN => 11.IN1 +ENTN => 66.IN0 +ENTN => 114.IN0 +ENPN => 66.IN1 +Q1 <= 15.DB_MAX_OUTPUT_PORT_TYPE +D1 => 13.IN0 +D3 => 72.IN0 +U/DN => 101.IN0 +U/DN => 93.IN0 +U/DN => 97.IN0 +U/DN => 67.IN0 +U/DN => 102.IN4 +U/DN => 102.IN5 +U/DN => 86.IN1 +U/DN => 87.IN2 +U/DN => 77.IN0 +D2 => 28.IN0 +Q2 <= 29.DB_MAX_OUTPUT_PORT_TYPE +Q3 <= 49.DB_MAX_OUTPUT_PORT_TYPE +TCN <= 79.DB_MAX_OUTPUT_PORT_TYPE + + +|CHRONO|CheminDeDonnees:inst|7446:inst4 +OA <= 96.DB_MAX_OUTPUT_PORT_TYPE +B => 27.IN0 +LTN => 27.IN1 +LTN => 25.IN1 +LTN => 29.IN1 +LTN => 13.IN5 +LTN => 38.IN3 +BIN => 37.IN0 +C => 25.IN0 +D => 14.IN0 +A => 29.IN0 +RBIN => 15.IN0 +OB <= 97.DB_MAX_OUTPUT_PORT_TYPE +OC <= 98.DB_MAX_OUTPUT_PORT_TYPE +OD <= 99.DB_MAX_OUTPUT_PORT_TYPE +RBON <= 13.DB_MAX_OUTPUT_PORT_TYPE +OE <= 100.DB_MAX_OUTPUT_PORT_TYPE +OF <= 101.DB_MAX_OUTPUT_PORT_TYPE +OG <= 102.DB_MAX_OUTPUT_PORT_TYPE + + +|CHRONO|DiviseurDeFrequence:inst1 +100Hz <= inst10.DB_MAX_OUTPUT_PORT_TYPE +H => 7456:inst7.CLKA + + +|CHRONO|DiviseurDeFrequence:inst1|8count:inst4 +clk => f8count:sub.clk +clrn => f8count:sub.clrn +setn => f8count:sub.setn +ldn => f8count:sub.ldn +dnup => f8count:sub.dnup +gn => f8count:sub.gn +h => f8count:sub.h +g => f8count:sub.g +f => f8count:sub.f +e => f8count:sub.e +d => f8count:sub.d +c => f8count:sub.c +b => f8count:sub.b +a => f8count:sub.a +qh <= f8count:sub.qh +qg <= f8count:sub.qg +qf <= f8count:sub.qf +qe <= f8count:sub.qe +qd <= f8count:sub.qd +qc <= f8count:sub.qc +qb <= f8count:sub.qb +qa <= f8count:sub.qa +cout <= f8count:sub.cout + + +|CHRONO|DiviseurDeFrequence:inst1|8count:inst4|f8count:sub +COUT <= 302.DB_MAX_OUTPUT_PORT_TYPE +DNUP => 242.IN0 +DNUP => 236.IN0 +DNUP => 230.IN0 +DNUP => 224.IN0 +DNUP => 218.IN0 +DNUP => 212.IN0 +DNUP => 206.IN0 +DNUP => 200.IN0 +DNUP => 286.IN0 +DNUP => 288.IN0 +DNUP => 290.IN0 +DNUP => 292.IN0 +DNUP => 294.IN0 +DNUP => 296.IN0 +DNUP => 298.IN0 +DNUP => 300.IN0 +GN => 301.DATAIN +A => 255.IN0 +A => 164.IN1 +A => 195.IN0 +SETN => 255.IN1 +SETN => 253.IN1 +SETN => 259.IN1 +SETN => 257.IN1 +SETN => 263.IN1 +SETN => 261.IN1 +SETN => 267.IN1 +SETN => 265.IN1 +SETN => 271.IN1 +SETN => 269.IN1 +SETN => 275.IN1 +SETN => 273.IN1 +SETN => 279.IN1 +SETN => 277.IN1 +SETN => 283.IN1 +SETN => 281.IN1 +CLRN => 165.IN1 +CLRN => 169.IN1 +CLRN => 173.IN1 +CLRN => 177.IN1 +CLRN => 181.IN1 +CLRN => 185.IN1 +CLRN => 189.IN1 +CLRN => 193.IN1 +CLK => 8.CLK +CLK => 7.CLK +CLK => 6.CLK +CLK => 5.CLK +CLK => 4.CLK +CLK => 3.CLK +CLK => 2.CLK +CLK => 1.CLK +LDN => 197.IN0 +LDN => 205.IN0 +LDN => 211.IN0 +LDN => 217.IN0 +LDN => 223.IN0 +LDN => 228.IN0 +LDN => 234.IN0 +LDN => 241.IN0 +B => 259.IN0 +B => 168.IN1 +B => 202.IN0 +C => 263.IN0 +C => 172.IN1 +C => 208.IN0 +D => 267.IN0 +D => 176.IN1 +D => 214.IN0 +E => 271.IN0 +E => 180.IN1 +E => 220.IN0 +F => 275.IN0 +F => 184.IN1 +F => 226.IN0 +G => 279.IN0 +G => 188.IN1 +G => 232.IN0 +H => 283.IN0 +H => 192.IN1 +H => 238.IN0 +QH <= 1.DB_MAX_OUTPUT_PORT_TYPE +QG <= 2.DB_MAX_OUTPUT_PORT_TYPE +QF <= 3.DB_MAX_OUTPUT_PORT_TYPE +QE <= 4.DB_MAX_OUTPUT_PORT_TYPE +QD <= 5.DB_MAX_OUTPUT_PORT_TYPE +QC <= 6.DB_MAX_OUTPUT_PORT_TYPE +QB <= 7.DB_MAX_OUTPUT_PORT_TYPE +QA <= 8.DB_MAX_OUTPUT_PORT_TYPE + + +|CHRONO|DiviseurDeFrequence:inst1|8count:inst +clk => f8count:sub.clk +clrn => f8count:sub.clrn +setn => f8count:sub.setn +ldn => f8count:sub.ldn +dnup => f8count:sub.dnup +gn => f8count:sub.gn +h => f8count:sub.h +g => f8count:sub.g +f => f8count:sub.f +e => f8count:sub.e +d => f8count:sub.d +c => f8count:sub.c +b => f8count:sub.b +a => f8count:sub.a +qh <= f8count:sub.qh +qg <= f8count:sub.qg +qf <= f8count:sub.qf +qe <= f8count:sub.qe +qd <= f8count:sub.qd +qc <= f8count:sub.qc +qb <= f8count:sub.qb +qa <= f8count:sub.qa +cout <= f8count:sub.cout + + +|CHRONO|DiviseurDeFrequence:inst1|8count:inst|f8count:sub +COUT <= 302.DB_MAX_OUTPUT_PORT_TYPE +DNUP => 242.IN0 +DNUP => 236.IN0 +DNUP => 230.IN0 +DNUP => 224.IN0 +DNUP => 218.IN0 +DNUP => 212.IN0 +DNUP => 206.IN0 +DNUP => 200.IN0 +DNUP => 286.IN0 +DNUP => 288.IN0 +DNUP => 290.IN0 +DNUP => 292.IN0 +DNUP => 294.IN0 +DNUP => 296.IN0 +DNUP => 298.IN0 +DNUP => 300.IN0 +GN => 301.DATAIN +A => 255.IN0 +A => 164.IN1 +A => 195.IN0 +SETN => 255.IN1 +SETN => 253.IN1 +SETN => 259.IN1 +SETN => 257.IN1 +SETN => 263.IN1 +SETN => 261.IN1 +SETN => 267.IN1 +SETN => 265.IN1 +SETN => 271.IN1 +SETN => 269.IN1 +SETN => 275.IN1 +SETN => 273.IN1 +SETN => 279.IN1 +SETN => 277.IN1 +SETN => 283.IN1 +SETN => 281.IN1 +CLRN => 165.IN1 +CLRN => 169.IN1 +CLRN => 173.IN1 +CLRN => 177.IN1 +CLRN => 181.IN1 +CLRN => 185.IN1 +CLRN => 189.IN1 +CLRN => 193.IN1 +CLK => 8.CLK +CLK => 7.CLK +CLK => 6.CLK +CLK => 5.CLK +CLK => 4.CLK +CLK => 3.CLK +CLK => 2.CLK +CLK => 1.CLK +LDN => 197.IN0 +LDN => 205.IN0 +LDN => 211.IN0 +LDN => 217.IN0 +LDN => 223.IN0 +LDN => 228.IN0 +LDN => 234.IN0 +LDN => 241.IN0 +B => 259.IN0 +B => 168.IN1 +B => 202.IN0 +C => 263.IN0 +C => 172.IN1 +C => 208.IN0 +D => 267.IN0 +D => 176.IN1 +D => 214.IN0 +E => 271.IN0 +E => 180.IN1 +E => 220.IN0 +F => 275.IN0 +F => 184.IN1 +F => 226.IN0 +G => 279.IN0 +G => 188.IN1 +G => 232.IN0 +H => 283.IN0 +H => 192.IN1 +H => 238.IN0 +QH <= 1.DB_MAX_OUTPUT_PORT_TYPE +QG <= 2.DB_MAX_OUTPUT_PORT_TYPE +QF <= 3.DB_MAX_OUTPUT_PORT_TYPE +QE <= 4.DB_MAX_OUTPUT_PORT_TYPE +QD <= 5.DB_MAX_OUTPUT_PORT_TYPE +QC <= 6.DB_MAX_OUTPUT_PORT_TYPE +QB <= 7.DB_MAX_OUTPUT_PORT_TYPE +QA <= 8.DB_MAX_OUTPUT_PORT_TYPE + + +|CHRONO|DiviseurDeFrequence:inst1|7456:inst7 +QA <= 5.DB_MAX_OUTPUT_PORT_TYPE +CLR => 10.IN0 +CLKA => 23.IN0 +QC <= 16.DB_MAX_OUTPUT_PORT_TYPE +CLKB => 24.IN0 +QB <= 15.DB_MAX_OUTPUT_PORT_TYPE + + +|CHRONO|sequenceur2:inst17 +COUNT <= inst4.DB_MAX_OUTPUT_PORT_TYPE +H => inst3.CLK +sbp1 => inst.IN0 +sbp2 => inst1.IN1 +RESET <= inst.DB_MAX_OUTPUT_PORT_TYPE + + +|CHRONO|BoutonPoussoir2:inst15 +S <= inst3.DB_MAX_OUTPUT_PORT_TYPE +BPs <= inst.DB_MAX_OUTPUT_PORT_TYPE +H => inst.CLK +H => inst5.CLK +BP => inst1.IN0 + + +|CHRONO|BoutonPoussoir2:inst16 +S <= inst3.DB_MAX_OUTPUT_PORT_TYPE +BPs <= inst.DB_MAX_OUTPUT_PORT_TYPE +H => inst.CLK +H => inst5.CLK +BP => inst1.IN0 + + diff --git a/SLEA/db/Chronometre.hif b/SLEA/db/Chronometre.hif new file mode 100644 index 0000000..1b4d664 --- /dev/null +++ b/SLEA/db/Chronometre.hif @@ -0,0 +1,729 @@ +Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition +18 +1161 +OFF +OFF +OFF +ON +ON +OFF +FV_OFF +Level2 +0 +0 +VRSM_ON +VHSM_ON +0 +-- Start Library Paths -- +-- End Library Paths -- +-- Start VHDL Libraries -- +-- End VHDL Libraries -- +# entity +7446 +# storage +db|Chronometre.(1).cnf +db|Chronometre.(1).cnf +# case_insensitive +# source_file +c:|altera|90sp2|quartus|libraries|others|maxplus2|7446.bdf +e82f7e1987a7ce721115e22db681be2 +26 +# internal_option { +BLOCK_DESIGN_NAMING +AUTO +} +# hierarchies { +CheminDeDonnees:inst|7446:inst7 +CheminDeDonnees:inst|7446:inst4 +} +# macro_sequence + +# end +# entity +8count +# storage +db|Chronometre.(3).cnf +db|Chronometre.(3).cnf +# case_insensitive +# source_file +c:|altera|90sp2|quartus|libraries|others|maxplus2|8count.tdf +2a6a409f4755d532381d1c9793829131 +7 +# user_parameter { +DEVICE_FAMILY +FLEX10K +PARAMETER_UNKNOWN +USR +AUTO_CARRY_CHAINS +ON +AUTO_CARRY +USR +IGNORE_CARRY_BUFFERS +OFF +IGNORE_CARRY +USR +AUTO_CASCADE_CHAINS +ON +AUTO_CASCADE +USR +IGNORE_CASCADE_BUFFERS +OFF +IGNORE_CASCADE +USR +} +# used_port { +LDN +-1 +3 +GN +-1 +3 +COUT +-1 +3 +CLK +-1 +3 +G +-1 +1 +F +-1 +1 +D +-1 +1 +B +-1 +1 +SETN +-1 +2 +H +-1 +2 +E +-1 +2 +DNUP +-1 +2 +CLRN +-1 +2 +C +-1 +2 +A +-1 +2 +} +# include_file { +c:|altera|90sp2|quartus|libraries|megafunctions|aglobal.inc +99832fdf63412df51d7531202d74e75 +} +# macro_sequence + +# end +# entity +f8count +# storage +db|Chronometre.(4).cnf +db|Chronometre.(4).cnf +# case_insensitive +# source_file +c:|altera|90sp2|quartus|libraries|others|maxplus2|f8count.bdf +cda638cfe238a883162438ebfb199e21 +26 +# internal_option { +BLOCK_DESIGN_NAMING +AUTO +} +# hierarchies { +DiviseurDeFrequence:inst1|8count:inst4|f8count:sub +DiviseurDeFrequence:inst1|8count:inst|f8count:sub +} +# macro_sequence + +# end +# entity +8count +# storage +db|Chronometre.(5).cnf +db|Chronometre.(5).cnf +# case_insensitive +# source_file +c:|altera|90sp2|quartus|libraries|others|maxplus2|8count.tdf +2a6a409f4755d532381d1c9793829131 +7 +# user_parameter { +DEVICE_FAMILY +FLEX10K +PARAMETER_UNKNOWN +USR +AUTO_CARRY_CHAINS +ON +AUTO_CARRY +USR +IGNORE_CARRY_BUFFERS +OFF +IGNORE_CARRY +USR +AUTO_CASCADE_CHAINS +ON +AUTO_CASCADE +USR +IGNORE_CASCADE_BUFFERS +OFF +IGNORE_CASCADE +USR +} +# used_port { +LDN +-1 +3 +COUT +-1 +3 +CLK +-1 +3 +GN +-1 +1 +G +-1 +1 +C +-1 +1 +B +-1 +1 +SETN +-1 +2 +H +-1 +2 +F +-1 +2 +E +-1 +2 +DNUP +-1 +2 +D +-1 +2 +CLRN +-1 +2 +A +-1 +2 +} +# include_file { +c:|altera|90sp2|quartus|libraries|megafunctions|aglobal.inc +99832fdf63412df51d7531202d74e75 +} +# macro_sequence + +# end +# entity +7456 +# storage +db|Chronometre.(6).cnf +db|Chronometre.(6).cnf +# case_insensitive +# source_file +c:|altera|90sp2|quartus|libraries|others|maxplus2|7456.bdf +c5ca1d38ffa447e5671fca116dcc73 +26 +# internal_option { +BLOCK_DESIGN_NAMING +AUTO +} +# hierarchies { +DiviseurDeFrequence:inst1|7456:inst7 +} +# macro_sequence + +# end +# entity +8count +# storage +db|Chronometre.(7).cnf +db|Chronometre.(7).cnf +# case_insensitive +# source_file +c:|altera|90sp2|quartus|libraries|others|maxplus2|8count.tdf +2a6a409f4755d532381d1c9793829131 +7 +# user_parameter { +DEVICE_FAMILY +FLEX10K +PARAMETER_UNKNOWN +USR +AUTO_CARRY_CHAINS +ON +AUTO_CARRY +USR +IGNORE_CARRY_BUFFERS +OFF +IGNORE_CARRY +USR +AUTO_CASCADE_CHAINS +ON +AUTO_CASCADE +USR +IGNORE_CASCADE_BUFFERS +OFF +IGNORE_CASCADE +USR +} +# used_port { +LDN +-1 +3 +GN +-1 +3 +COUT +-1 +3 +CLK +-1 +3 +G +-1 +1 +F +-1 +1 +B +-1 +1 +SETN +-1 +2 +H +-1 +2 +E +-1 +2 +DNUP +-1 +2 +D +-1 +2 +CLRN +-1 +2 +C +-1 +2 +A +-1 +2 +} +# include_file { +c:|altera|90sp2|quartus|libraries|megafunctions|aglobal.inc +99832fdf63412df51d7531202d74e75 +} +# macro_sequence + +# end +# entity +8count +# storage +db|Chronometre.(8).cnf +db|Chronometre.(8).cnf +# case_insensitive +# source_file +c:|altera|90sp2|quartus|libraries|others|maxplus2|8count.tdf +2a6a409f4755d532381d1c9793829131 +7 +# user_parameter { +DEVICE_FAMILY +FLEX10K +PARAMETER_UNKNOWN +USR +AUTO_CARRY_CHAINS +ON +AUTO_CARRY +USR +IGNORE_CARRY_BUFFERS +OFF +IGNORE_CARRY +USR +AUTO_CASCADE_CHAINS +ON +AUTO_CASCADE +USR +IGNORE_CASCADE_BUFFERS +OFF +IGNORE_CASCADE +USR +} +# used_port { +LDN +-1 +3 +COUT +-1 +3 +CLK +-1 +3 +GN +-1 +1 +G +-1 +1 +E +-1 +1 +C +-1 +1 +B +-1 +1 +SETN +-1 +2 +H +-1 +2 +F +-1 +2 +DNUP +-1 +2 +D +-1 +2 +CLRN +-1 +2 +A +-1 +2 +} +# include_file { +c:|altera|90sp2|quartus|libraries|megafunctions|aglobal.inc +99832fdf63412df51d7531202d74e75 +} +# macro_sequence + +# end +# entity +8count +# storage +db|Chronometre.(9).cnf +db|Chronometre.(9).cnf +# case_insensitive +# source_file +c:|altera|90sp2|quartus|libraries|others|maxplus2|8count.tdf +2a6a409f4755d532381d1c9793829131 +7 +# user_parameter { +DEVICE_FAMILY +FLEX10K +PARAMETER_UNKNOWN +USR +AUTO_CARRY_CHAINS +ON +AUTO_CARRY +USR +IGNORE_CARRY_BUFFERS +OFF +IGNORE_CARRY +USR +AUTO_CASCADE_CHAINS +ON +AUTO_CASCADE +USR +IGNORE_CASCADE_BUFFERS +OFF +IGNORE_CASCADE +USR +} +# used_port { +LDN +-1 +3 +GN +-1 +3 +COUT +-1 +3 +CLK +-1 +3 +G +-1 +1 +F +-1 +1 +DNUP +-1 +1 +B +-1 +1 +SETN +-1 +2 +H +-1 +2 +E +-1 +2 +D +-1 +2 +CLRN +-1 +2 +C +-1 +2 +A +-1 +2 +} +# include_file { +c:|altera|90sp2|quartus|libraries|megafunctions|aglobal.inc +99832fdf63412df51d7531202d74e75 +} +# hierarchies { +DiviseurDeFrequence:inst1|8count:inst4 +} +# macro_sequence + +# end +# entity +8count +# storage +db|Chronometre.(10).cnf +db|Chronometre.(10).cnf +# case_insensitive +# source_file +c:|altera|90sp2|quartus|libraries|others|maxplus2|8count.tdf +2a6a409f4755d532381d1c9793829131 +7 +# user_parameter { +DEVICE_FAMILY +FLEX10K +PARAMETER_UNKNOWN +USR +AUTO_CARRY_CHAINS +ON +AUTO_CARRY +USR +IGNORE_CARRY_BUFFERS +OFF +IGNORE_CARRY +USR +AUTO_CASCADE_CHAINS +ON +AUTO_CASCADE +USR +IGNORE_CASCADE_BUFFERS +OFF +IGNORE_CASCADE +USR +} +# used_port { +LDN +-1 +3 +COUT +-1 +3 +CLK +-1 +3 +GN +-1 +1 +G +-1 +1 +E +-1 +1 +DNUP +-1 +1 +C +-1 +1 +B +-1 +1 +SETN +-1 +2 +H +-1 +2 +F +-1 +2 +D +-1 +2 +CLRN +-1 +2 +A +-1 +2 +} +# include_file { +c:|altera|90sp2|quartus|libraries|megafunctions|aglobal.inc +99832fdf63412df51d7531202d74e75 +} +# hierarchies { +DiviseurDeFrequence:inst1|8count:inst +} +# macro_sequence + +# end +# entity +DiviseurDeFrequence +# storage +db|Chronometre.(2).cnf +db|Chronometre.(2).cnf +# case_insensitive +# source_file +DiviseurDeFrequence.bdf +de488e6d92e1758fc3d58888ba4142 +26 +# internal_option { +BLOCK_DESIGN_NAMING +AUTO +} +# hierarchies { +DiviseurDeFrequence:inst1 +} +# macro_sequence + +# end +# entity +74168 +# storage +db|Chronometre.(11).cnf +db|Chronometre.(11).cnf +# case_insensitive +# source_file +c:|altera|90sp2|quartus|libraries|others|maxplus2|74168.bdf +65cbff18452b4bd24481c19efe8c3d +26 +# internal_option { +BLOCK_DESIGN_NAMING +AUTO +} +# hierarchies { +CheminDeDonnees:inst|74168:inst2 +CheminDeDonnees:inst|74168:inst1 +CheminDeDonnees:inst|74168:inst8 +} +# macro_sequence + +# end +# entity +CheminDeDonnees +# storage +db|Chronometre.(12).cnf +db|Chronometre.(12).cnf +# case_insensitive +# source_file +CheminDeDonnees.bdf +a17e92775a837fe5bb01cd4d51bb055 +26 +# internal_option { +BLOCK_DESIGN_NAMING +AUTO +} +# hierarchies { +CheminDeDonnees:inst +} +# macro_sequence + +# end +# entity +BoutonPoussoir2 +# storage +db|Chronometre.(0).cnf +db|Chronometre.(0).cnf +# case_insensitive +# source_file +BoutonPoussoir2.bdf +a4626d4be2214372dcddd7767b61319f +26 +# internal_option { +BLOCK_DESIGN_NAMING +AUTO +} +# hierarchies { +BoutonPoussoir2:inst15 +BoutonPoussoir2:inst16 +} +# macro_sequence + +# end +# entity +sequenceur2 +# storage +db|Chronometre.(14).cnf +db|Chronometre.(14).cnf +# case_insensitive +# source_file +sequenceur2.bdf +5936b65ce6461430c2d5e45dfda4343 +26 +# internal_option { +BLOCK_DESIGN_NAMING +AUTO +} +# hierarchies { +sequenceur2:inst17 +} +# macro_sequence + +# end +# entity +CHRONO +# storage +db|Chronometre.(13).cnf +db|Chronometre.(13).cnf +# case_insensitive +# source_file +CHRONO.bdf +ac82dceeb2d51fe9037f6875b72dbff +26 +# internal_option { +BLOCK_DESIGN_NAMING +AUTO +} +# hierarchies { +| +} +# macro_sequence + +# end +# complete + \ No newline at end of file diff --git a/SLEA/db/Chronometre.lpc.html b/SLEA/db/Chronometre.lpc.html new file mode 100644 index 0000000..2f513c1 --- /dev/null +++ b/SLEA/db/Chronometre.lpc.html @@ -0,0 +1,98 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
inst162101211100000
inst152101211100000
inst173000200000000
inst11000100000000
inst32021622200000
diff --git a/SLEA/db/Chronometre.lpc.rdb b/SLEA/db/Chronometre.lpc.rdb new file mode 100644 index 0000000000000000000000000000000000000000..d8693bf4f4322c5e0abe18a1e1acb0cdbe8c9ae7 GIT binary patch literal 503 zcmd;QU|?9w&B%}kg!VvoSZYymW`3T6rJjL;Q)y;Sih_}`se*x-zM;9kk%57wLU3wP zS!QyoLO^12wt|sDu$Mx3YLbF$N@fXA+kFka?>xE2C`{-#+cv{WvDSGDvgFiHWo`bvhVhO0_NCstUp-Rv zo0ntbboc0S=QC-moae@+IBrabGh_BZ1>xirLrbQKfA=2&qa|`@Z)g#aU+fuP2-D0ZrbSc*4){jyT zzHqKueQ;8ncmA8zhUbC7^Z$PZqfkOJ1CyG97}FyK@#Q}R+|}i;SA@%l$A|BqaK8TE zoD{Z|2@e=1^Y8>Z%#!YK^!ht@Pt06rlk@BHk{M5*Yh1-B$$n^(Lyv)xh02M`=VjA2 mW%g8B2s^6;u<{FVFIv$uL;C-L1KkrF1vNG^c7S5y|9=2=amHEz literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.lpc.txt b/SLEA/db/Chronometre.lpc.txt new file mode 100644 index 0000000..b1d0299 --- /dev/null +++ b/SLEA/db/Chronometre.lpc.txt @@ -0,0 +1,11 @@ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; inst16 ; 2 ; 1 ; 0 ; 1 ; 2 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; inst15 ; 2 ; 1 ; 0 ; 1 ; 2 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; inst17 ; 3 ; 0 ; 0 ; 0 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; inst1 ; 1 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; inst ; 3 ; 2 ; 0 ; 2 ; 16 ; 2 ; 2 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/SLEA/db/Chronometre.map.cdb b/SLEA/db/Chronometre.map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..b689a389edbffcebfa9f00d72f3a08b31b8a3dbc GIT binary patch literal 5974 zcmV-c7pdqE000233jqLb0B!(300011WpZ@6CZpoPd000000M-=%000000G z0000009F?O000000C)lHoei)hM^(q~KFD_>Ax6{)+06$8c0+2nl*m?5VT>e8p+G9B ztoRX)We{D^KuSYEsrMpOSYla5fk2^!Qn5f1g-}IE5Qsr6B`6xego31&kVpli64WGa zKH&QQb@#dV%$@0(e(&Dd-B_=y=Jrg_ng98p)2C1O%$@t*csw3&7>!2XP4#!EX?R>a zqb2QFIcW5#owKG%eXRDLs%`g^Cr$O8gLJr>jjK&LZ2F3rjXPvGeYnnVd)~IwpTBME z_HEk%eUi3EbjG&tojJDlwbnl?yuHF--=;qJz<9hY-&c#?@Uh%IEjkVj2T$T?G&*>C zy0J&J{jaWJvyEH#t|+Ww~yn%T`ASBvOr) zSwgh$b3$~Rl>>s1_xC!Xn2W4~Vl=LMDEgy)*yEjb53PG>I%d|Esn=s@J%-j}XzBs> zW^=1QyFSX=JoF^DNIy&htQh@f9`q|o?wx1vKuj+7!6k0l`rvfGLh9=}rcAGhdw%6f z%i`F$RoZBl4Vv~Xn;$@BD*K@C&v)SUr_kT|@f7w99k(n!Q%qLdki`mlc z8<;FAij76CmtfY<=64npH)!i))X!!Gm{j(E+zioEzPJ2-zi(c;pq}!*Yu$lrs}=D&k68LL<4 zn;jj#;pr{Kkgax)QA3llvGbmRwNZQD`1ZKZcsk8N&l5KwTCc2)%@Dab+ByC7%({I8 zn>!Ztt%vz#$|LTrj?JR7AG*C}W932e>v1wz%_2s@Zlk^(&LtreB&E#4&p0p{-V=qtZI6qVYKsdFO&8 zjhx=s3v%~O!_G@(fv{PK>HDXX`WH* zOhIms;!fY@EdSu-n)bLlb#gv}JR&jwK2n0N%wDU}+SEL%{w~FPpPX*fmciD1Us31q zbh1)DTGks|L2j>pMmakhVej80Jk3f$?x4{){1Q^ozEo8@Z;d&&Ka$ za@g^2lKZVBm$T!ak`33SI%fy=!pm&V@zalDgB-p_J}37hiPt4PcHloaTHNI9z<=o8 zl<;zPEb06`PAa&ttNpjyA2Vd&5R;m}I^VZtM z51AiI@;NzlzD1k)J10lHd`)uaB)puT`N67jqdr*USA8^Fs-I3c*C+W$l@IvAv=_uL z|ETliKmA;~;#>|7{=}ZeVHchryH%SLURNBD1GgtR9@V+Wbxt1xZZ=8eoEvL7w-{42gYaUoWI_d4=M^5X@h9NL9dR3 zy_WZL_JX7Nal$WWFFqmP+xW=Ifu}o>&VGZRI0wI+ts3q*e$1&K*Y=Vmm(vR#a$r$% za^MjMFY3U9pXrNed4A|chOtSV)2p{Z!g-U=$(62iPL$q~b!{&VOa&}m}BSXpAahtg5T<eGvy@hhD#O)kgJ=A%BpAcy}9PyIMz^98@?c;WLFd9v?* z3%{&p-m-j}^9wl=dk>E`W%H1H5aPx1R&IQNNB@3*2OjSH%rE?!T#Xa?Bsta#xbe@^t%ULM5y|dIdUJLV z&sR(C)je|f_Uozsg?zyX^&7pH$MIo3^fznY6v;-KWdmN-BTos^}tg@g0~ zC$)#U(AvBD!)!n{XD{n8a_)a8eZ;s#0MP~ z{BqAXeo9#6tY@f3E{C^AGTd9(Jd^7;)|3CDtuJ2CNiOe`BaT@Qb*(p2e}#1fHc)c? zOCDm3{AjYbtv_^mSaUL8n!PzW?C{T#+T`Fz9{r+Z&E6b8xRv^)L=Jn&MZqch$nkrc z__20ANgJ|V{D_6VxToKU`z|^B!rK0y9lu;2Vvar`af4r*9cm7F?c)xwO%A;`OXkH6 zFJ~|Pi#$3hZFp)9V~Bjfy;{u9&xM9h=J=AC(Nxy^-(YhyP0MFBu!i_4%0?@bQxKv&Avo zC^`RePf-#tACugf$<{7B{7mczrTWPEnR%LZn8kF?&&<=CB7HJZo!cJn3eZ~p3{5^RGkmFRUCCPGMVey>vAKw{pjp02yW=^WF>(IMV8}~ia zbwvEjrl!#JZkF7hgh^ZOc{}_p*CUske>X|axFMIbgLt-FkdwoX3$$_GFmvCpavuL7 zOVNFG>-U#eNCsOhUUUBY4axZVEr&;5>6<@9rJ~<#tidm*_b(;O`9o5z@jhakDb?Q# zkJvJ~`xU46&%}YQndDo$J{PCN0prN}MC&r{NoPyYi@oG>PUiGl+!;Vl?=ywN9Lzcp z+1&VGTt4RX=EkKxSL@SzVzSrlm{+eockI*4xWvyE3tf6y%NoC&z4kq0pWfr8mwCqg z)78H`A2PkU{sqr;Qs?@Y_a`1Hw6~;xPbk#OJh@0e+dOVI=j_G5tm{g~k?-G}pKU$d zr>~#>5bS$DV4trV?#GT0t1kB>0h{}PoqZQxi{%a!}_3-#<=j%g-_G9Hyyk;A1 zvxPRk2{ajMe6cIFovDVs^1y}>lD6$iFlf9w=!+Whxkp-!M!Qb&qSc5mpnOh4mV(C9 zH6$stFG8bc->}jIKNgU$*CLOC#&aGF3KypFPQf*8-21kv#zQZiUn6L@D~xvvDkAfV zZSP5k*v8+$pwMo8U^Q*Cg|@bcQILeb*R#~ob+wDQ;{5Yt6B@6(HYl8U(+lS`N~ZF1 z#Hg{<%+TV^Yu%{W=|%++o_4HK8sL*a6u{x(|w*Mm9XypI;T#S^Pc270E`1Om^RgqzfTkR$VEM)k^hTuQPYQ<_s(6Q_xxXb zi<;iN5?K%tZ(45mUYAX|i12^OEo#cmHs(F6bKaZPq|q^A9maKsD2ZG^!=!++D;;6m z)zP%cxH4_jtI=rJ>4{QH?ig~m(Hg%ccMLh(=-bN{8;6)}4pZlnjwam~M(a@7N`2@G zy+2g8+TO5fs2rjvGOl3LP&wq&PS3D8#Qz1dsA;HN@Bg}3)O3r>^;Ju*w>+?#++o@3 zW@W18N@vKCtnb2FM)GJSb|v|7w4x6c>=C_?Raa{xXyg2g7 zoIYvG^_#A91<93kjHX47vu1>>BvnDvG8Q#$f()!?3vCwl*zV8!zciJi{)O>8nl{`L z6ir67d5$`#iL2YRtwh-L$5UTWi~P-yyj^C2^sAFrc&&$+`4`q+w8f==UQ#M`Q~Jts(bX6J_VbxjWbr$ z=1RprZA1tACZ~EbHu)<}Vy*_V2;Sr1oBE=k!to;JXf&c7AMFrk&^VUWfgc=g-a+F| zZ4_^#L;~j-8)*(05)U?Vj23FW#WucB8~d?v_4tKxA5DuKZ`e33Xc{8t+br|r!vB-0 zI_;tHsrrJPf^84z#T^Rm=KF9`AGFOD+9V!U1$GXb@FrW@w^ORQ;syFyqLqs-ihCYi z`xULeO^4YwukjiQhDfQ!<{$r2Fe~(Gn?}ZxE?kvHX$>~&d*zv#6r|(zRihO@{w&V8 zn)5ju+s5Cz#{Xaas5hDRapdrqg2L#q&NB0s9FXL57D*<=76noOitA0g_~hWC%h%cp5mOGPUlNR|I*%(1nKRs2kP zThznw*CSrI;_;{tmnC?I6C~`o8&gkBChxpC%*l7O1NY=OnUZRTr2X zu>^IcLz1uSTt6D%s3r&Xow>YprZU6jDfW4LMTP2;`sh$grEOA@ zN~*i+171zl<-%M^SENBExbbnidz>JIoys3N%=?;{ZxZJ7nwZ;)F>h;PepQ&i*~C1e z81vyK=BtD$tAb_D_N~SK{DSw%^v>$@P0V9zX`ai1R=eK$^MQH_qmMMPEnn5dJggX# zmvvkL^Wt{Qqlz&fY+{}x%v+i~4=Todyovc^?R|7=aUb384A(BUvuT%2cgTElqdflA z;#hk3Qn<)IIxx2s^Sr~*V%>rHx?-MJ74zI&%=62|JYQeT^U5aA9qON-YxdEdS_;$& zRQ^z2qfk97?T3cg@2swVp^5p0V$7{g%=Zd&a})C$#h6cTVtzxI=Qc4vTa3BAiK(aj zZMp85#r=L)a-{R8v{X7|^W$g;%nOQb|Ffp;8J@pXjQQST%#(`!xd*RJ3mh{%UscTW zJ;gjvEav&ODM$9;$lb)tn*ILPVxDho^1M;;`I084|93QzNENI2nQ*9nw~6^FVcM@5 zT%O-lZ2Q-}u4p^!rB^q3K2&V`kxia&ZXcT)i+SGDI!zVW>8Z_v%{Q==SdN77W0JS@qRaK zrUjn*+NQE!{5qb$R&4t_nznyPw!dh>wv&_3YGSSw^Sr#tbLxp&A6-|Rmv+|klJKf3 za#W>vbjEzD8jm;DG4cG{WzlPrMd@W8sxKDz=F#mrG;*wH zbP=+#81tS9T-X6qZzi_QG7st@U|qQKhq{tNbyU-K@mW@Dg^1(%P%-9_O-!(?ILvp| zds7mXNl`nVyP6TAr|y%?;3DMsIwoH7m@Y!>rHbk4nxxbIhNkxP%js~1(|$s+_E${R zroEi@-A(OkK{{OFw4Yq8{cK%bFO@%Zw!f=b`zgiR`8i6xRQ}Lue}A#|&BfYJ^t!@+ zPWuhT+MipjJ-svJIOMe7TC9C*vG&`NZ>Q>W+BYmsW#V2XK1j3qoP2qGy(A4m0x60c zaj%Ol?z3|He!M@WSo>RwwO{CUh5gF6xTt$ZvG%tXYk#@d)v0|;vGyyAwVzR}-T!me zcu}t3f3H}(egZsGDu3)ezoXdptBSQh-RlbbIql~cYkzyO_QQ*{UsSC9>ZbO0YBsz$ zjXXEvn(r6nY}XRX7e?`ygn3HBbhGv+>noQ30{{U3|9AlsW@7*XP9PQsVrLhpcxMmK zfOsJ7>K76e8Sm*E;B%qlza=XJBT#+;h=2lqAPrIvRqE*)oD;2F08yX-Rl@|NVdi>x zx&}E0IeSFHbVSb8Rxo4+tK>jZF9c*`xMN4pQ!kKV3=Aw_l7Rul;{#%lQpXT~-*`_y zH~)1>pG|qe3Si1Qfjp21NSU8&NW7oFi)-BbXIvny3=9Tfl7RuF3T7Bcm4Aq9kniFC zKdm57GB8MhNd|`VKpI(@CrBBaP-cn%Sb+>wnFTNqxPfeEcgJ{tCofm$5RkhB!Ll%S zu>yG@UxP&9UI1F{;~5;nbJJiS8#ugkfU;u9DnOo$H#G2ejra6F?WEAm1(pU`FNs~5 zkF%?f&(qdgc^(E=po#_{7DiSHkChj8-#@T`EmZ)@iy*53+Xpsi>uwW44zNKrKm{mn zhdN5pO*51o?5HU~6|gh{jv#QLUVNIt&&jX}D5rxi4-U;rPIZ5724-LgY5?T{00030 E|DAAC+yDRo literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.map.hdb b/SLEA/db/Chronometre.map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..be925a74c12d31347f1b7aec9b8d9e484188d71d GIT binary patch literal 12378 zcmbWdV{;|U_ca{bwrx#pJDJ#+*g3H#wr$(S#I`*#PLh*MY$x~id;Z_xseZ9mS6A=a zy?WQ`ez8%(z`*Jd!NDB=8zTR4EejV{8z)CHJ{C4Iad#Vgb21LjA7pIYtn56j9BgcS zWa<_!9yVqcWU8iSc4Qo6>hfgT7Qe`(%x&EM+a{$8{$C6*uv4c055SIK{txxo{tvyt zFMcED63KXjWZMS?iTaISk_Pd{akuUSJw0QCbT8{mg|uocJdbI~u4m@-AapT&{wnYH zgyYR{qW^_AfEa=0<_+3D24qaf>?fMc44bfc1|gc{bPU3TvX7mRAAdLeb1LsD@9xiE zyprco@2{$=Zuoj!)i(aExnsCv*z2^{_uKcNAZ^k-oZE4)%i{b;T;3L8fA|rr*wt8x z^@+yI@P5beH-sOWhOem#s*nKh4RGJfZD^jI26rmN@MPmAV)!{j{Ry-K zv~oFbPVIJ=9cYaiQ{VIQZ?ViS?0F~)}&S6oD}0gy6bjXPE5zMt<%we{7Bgf#7n59O#zMOs>K18&=F<#H{FSPX%fV@t}68E*;QFahabOI|JjD7 zTF0@`N>e6dFZ#1bq&Hi$^+`v{FTlO}5|Go2Q&OpOu0UN1I=4Tp?VppKv zBazC;7CCS8{Gmmw&lMJuBVaVZ@O zPdr#g6b&nsHX`4mrBdm18&s_H7v%;Gv29IMy)5oVJijS8-Yj-n32BX%Fvf1td@73O z*O{+RI&YQP-$Oj7Junkex-pI91h)lwuI@a~9JfdR0C0h0hBM-08O8Bjcf!$T3VJI3 zBX6cyN^Ah{nd{Dc7z5-;;;z;ZW)_a8p|zetVSfI5gW7$z@HCQ^o^DvqQPhx);L=g& z+7f*gZ=E${Lwsshmc3CL)6j%a|4zuf_~hvdW{=I)Amfajb(?y>5r;Qd76az^Kj)8JPnHzx%u_2m#vNH#f^z$+QeT(eb6ebYrv!VxlLh3P>4EY z0?W60E#%E&+{R{Dj>pQa!P-po$-e>AV8&}BYi+XRhk>5_>$5^DNFl=04bgcR6lg+T z-g!A6wMVXnWl@bu$UxA_fNT2qdGpMH-#lauB&R_AZm>qFAT8|5HITxKUGR09 z5-|ZP1|un$2`uTO`?1#OC}jbAvaIue8UxwHGZvU#*+xo^?rdW6JRbMgk5AkQuG6&} z3|h;(R~5KA%1Xv2iDguhXIOz1Wwk2L%fa^&q@ar5AHOPaTfY8J3}3@8!h|W@P4Q4Q zTnQfKUGc3wRj;TXwS>~o56C1O-D2~Up3=q~mtKxK*L12K7K{HC#og=w|Dp&>?HI55 zPeolwRzZ7Q5?weP>h>9fWgVQ&ns7v{b%&j=>YFPT@naO+%kG{(o$LY)a8Nl8tsV~O zzZ#8Up7iOT;p2X^Jq4pPc${W|Xef65$&zQq?R6UmqY^tsB04T(%*kW_rj?RyY&Q+> zgh-!mFAnb@%AEe?9^b%}sl=-3)Qm$Tw{o2(D59=;o-DlGonv=A@Q6#Y&_JwAfzw2< zuVj#Zw(nYcT@Xuq&t4FURd;uM8{%S^&4o}I=iN~YYj0N!Z|(s!Yz&8Oea-;0@);}w zrEBa7fN0+NJ7c`ef&AvV#F!V~$)>{7iSa`ECujKMV_Zu7(?#pqe%7la`@bi>s}Rqs zZ)jDbAhoDj4?Fvjm^EHPR<1JTfYWSuLR<&<_6(Q~@msr{l6p9ad(gT!N}L`5jEcuB z;^4@WLTay@BA5r$=Q(pW8MxQMSZAV=2PNsBQ$gGE|lBF2cuLLU7 zDW-;szbk9Ivfwe61Ld0rrl!rc2HU{I$;hm=!X6Q=DOoh3#3+f|fcD82)^nseXyz&KE63Rk*eW2Ul61VU z=cg=;D5MDw=p4rM@f**0!~9{5L~l62kUr(Bs8V5SxU%?})nXZv-_Mx+xu(&J?a)6+ zi>>7Ek@4Z8RwvmgL)hi1c^G(Umvg25N!m*u0rly*8-+dF-ANvZMpH2)@%yonrtWHJ zET}^kMw=B1UrQ0~K}*JU9y(pEEz7D86ra>SDunsOr+F!uc`K}Ua(YMU4*(p;G47IJ zTyHAoCk7kGZF$dH4F8J$m$q<4kTE^rp+!V;7vC4gw?_wVVp4lSU9_dPVv3 zaEzd1FTmq!DGYTBo0_(o&Hd%0Y8reQ?p{Vm-9H8Hfy|BMyyPPE!D$dNU@#?Mj?EV2 z1fo`GfEdPmH0fAxa$AIS2(?NZ>L(cSBEfejBLDh`1Soyj$|xVG@EMySF*4Ck;IDtx z$YO%yyx0G@H^NitG6kL?OL36y8$<0lwqTw}yq26EEx_kp#to&26ih@VgVd!{8wHQd zI&6`bCuyVmRK41HqD4T09fnH@x#}JKpZ=KmyePAxIr??NTa$Lu(2|*#Gm0l-Xp?@+ z>BIv4m~2hZ%0IOC)G|}J*gs8GW6*;j7+wm~UGxikP?M_-lp$?IsYzFCt;OAmlGV!K zcE=`3rkP^7o6NK?x0Ly8mmKt4X)>}*sol#=C=Xldx9Vad(4S=cki#CUET^+8n;WP) zK9w{I5-_S*r6org!o{YKA>m;N>mb;9rj_x$IXb7}>zH}zQI9B$6JiX|bfCbE8k(Qd zDGTew>g;_t)n>eDe#ghKS#gIoTP+-{HLfEb8|b)FwdV${pb%}DD>2GT|teCWf0=VQ5~Z9sT&v_kgmI>37MZlT<~wG;0@+T$${2^fLu z8y(=jC})^znK#{c?P?9o^hsb2qA;#7DEuH1^aao-wPYm+ImLAqY$ku+@V6Vr`=bK7 zn2A1G(xca(F9jJ$P<6ZiTe@Xp6FgO9HqswzV@sR&7Q)ra3iI-z1*Ny3&BqQEH-5to zOT3rjK#suaV8SG>xTM-fl9s}SY)b^^)oLkw4TZm|{!PQoZ#0Fg$+o|+rqUXvU`#P5 zH<5)zvI|5Vowo_In`d5(|(aVR3Xzo z!=22vJc8oX<*yFRmSn2n$RzGdOdd(1EMD>q>2(y}=GQ%Kp$|EGWF(2!Q-tKdP(>{+e)=-^@6D=)l}9Oy!DxN9}rJdPUqke`wO z4!i=9sn1{tcZuW?Dv652>zOY;gyB)|SWlf*yd08ufl1S53G zMr0N-X*dyqDQTz9sc_n>qwo;PVbq0af&+zm7W`8OE{vd707-aG!H8yFnvPnGOT=j! zS|_P{I7^K8K!!0Nd62g;w*Vq+Zg%D z2$pF5wNZ!e6Kf|ohF+?Xac`GmUL7n^E7gB82wwj^NXz^b4!lwG+g?GFs#Tcr@?e z4Veqe-4oe%q)RR+@=Qino0`({O3VTE?gr z3dcfJ*W4(~wtbKk=*$r(*KmP<)$dlf%ZBg-ilYa)1RX=R>UvP?=ks1U#YnQW+BX&?X|fI{V3jiz>=XVQY4 zKV{kzm8?M1Jkf{&h~@ct0-k>_hj6t+}b9ekTr04e@G{CQ~AisVEZC^F~FQEM%r8O!1lVoYcuje;fP(BKyY&Ni9xYuBEVA0>;<^KOJfb&@~VN3* z>N%THMn#2%KJ*C;aGGq3$Knr?&DejU5p=@pY8S;^N#uTtz^Q1L9f8ExJt=_PUSse& z!`nz^H#{)6S}^j><=uh)5ZQg`+E6ZRFkupzD=i|HI{$R7DDN2aNTnsjq?zg)O=zruu54DhVHlju zwB2AX0KxjIku26;kMo{8m5mcKd(5kn3W4zbZ#Wz%jqm_pCQ%n&#R_cv@1Iwz+`yth z97Mb|FiHZGG#H&r2p4Ok#5uNj9N=zj%uSBi|9nm&(taQj9C)+{M_z23rH-y0f%eSj zV4B-D6OLvKkp>LS2MEKQ?B<9i&pjxwNnVlymF%6JXePM-o+lL|FLQozdHFhp)48Jm z5?1an<`(dTpxdn>qbh)K8R41C8ohhU%fS|K@VRH6k$YwvK77XqoXZ$ z4(G>v$7V?^y906UPpD274xj+bSV5Mhw20r-$$#87#j$pTkB?khEAnC8h z9fC9E!VgnH`Yah`*N_xNfnf)V^W!n$p&mJ7oS2TXm+LMs zVM(dm>qUJ69mUTFvmvv7g}p8OYYSi9?mR<$Oi#)3Xzye#1}_0&7LLFhJc`M=txGor zU4*W`o#+d5koQDCO!GEhLtD~kL~!OgE7odK(#HY>`T<|?9Ys>8^MuTAUxzh#*H$G) zNy{V|j$ott&snnf^K+cFoVBn5^R4rQ(p{LFw;uVX1rd*ype&CM^ph8V19g$2j+E^hZFz!qjzS z^yyi7L8Zde1OClLKzo6Y=|tZ_Otx{@H^gC%iE4r5$2T;f9?2f&(yZ{4(7Hj|kH=a7 z?aOJQ4{p;vvLBi&KKWkMm*{p`)R$$bA>@gQ;T`4BVj(~5#;%Y!*R0;H4kC(EI2UducDQpWs$stQ-EoK{kN+>qlfiN-Hs30O3Inx<8zoBq!=Xh|-Br zp&>Mc`*O3N~>WFi8KCIUiNsh9w#eovF!mzy;MzulO^2+9_Fp zsk1f}*;c-BN~zWZ(~e3kem0ER#a!V6A))lbHoo*?Dz5b6Evob)`+pc)dZCA;{-s_^ zh98ylurH^xR27@IZqKOBFu!wJYMj8U&%w^$C#L0tJilI&00jzu3zH2pkU@J7%|(Dr zP6=h06z{51m1je`t(H~BVoee<42z;OP17l8Wn-N-j%o(Qv8#R2ZRGSs%Q0hVP;15= zYxLYz`LGvMvLrDU$Qy?lWOL)FwKXMH$3b@ z%)C$!Ejhn3QC|BS$y{_hY_Sz2`SMxNID~|7(v&u*)3>~Bcf5!WB^B`m`;hAkjBq3P z;PIr=_rOBcRs5tt{}jJ;BDTvVzH(5!`%zxO$X=+qtZ_Pj>IF@W{`f_foN%MbZ_Y_J zS!}B_;(jjjGonX4!hV5V8$7x8tK(epYJuEf zR;3;^EV|H@ErUNIaYYG6ggW)oHQ5$owo&A6M=L!JQy~MCq26Om;P@4BY2`Ly1%7Zl zxOSe3EOm{HcdX5Yic;i9C0rpPj0%^AHXUM>C7;q)CD8{h>*C}`7zresc&kdf*w^rI zF@Jn(8l1eazTkQz#@avDP&N7Gvh#0pu+2_pT-yqO5f&@^j3$3e;bZIL?C0g|!ixcQ z=&63#2TSB6uY{zm6lcVdoJWvFL>x;Ti3&LjoibQtL;N8tQ`^4>e@^N)@)ki{^z244 zk--1!(^}?~)HBq-mhwR*P)m9g$bVG9e`Ljf1mZu!4a}AA&Y7y$7ZGam=NAy<^MQ|; z`Zwu*K5iy6$m+i4>ke1&erj#)D*dk#jCEarp^*q$xe)=MxI(y`R)%#TmrV$xCT5tw z4tO(n&Re|9pTFi!ta9BNPx-U(KI(}sRZV&Vz<=Z=nw&srV7ff_M+J#ZA|!?K^g-4W zgRSS6ew(8UoJ?U;)5XK--wBPUrNy%!8=4^{W<<=(B~LFLyuFqT0=+EVmTr&P7b_#O zJ*&A$?HUq8Ki{xKlefGW(DL#kbJqY-%aCH2^@)Gr%H7Vg0;xKvYdHB7BAkimh-3kI zZ8#O?5~qzP)TMjd@=m5&>w`M}BM1JYOa3EI_lfH>G!}nGW*=O# z$&JyhX=FRHe4MhW+ep=EfxFRnv4n7u#MK&k!3z2FX`;$mqRM&V z{AuF+zeHd636R?a$Q|yQpE_ag`drb!P{JQ(g0GP}SNhFL>513-Y+_%b8B-_fkIAQG z?ra{ITuPXbrqqqcPmTo6#5$z$7Vrbk*cuucqpqS<{qB=!-lUH684s{v;~|K3<-AE2 zQQr5sc$Eaj_;F)tBSa#rc0ZOJvPXB(qT#~?^L5BT6gyJ29(us%0B`{cTT*>ME-@FN>>R+OmXmXih6(>GSDDC+yc4c{#R}y;O zdcYdFdf@)x#7z8b3nBMawYNK0%5vcCU?f<(*lvU)MD7Gl?;6i(;!fZVd9a_U)axtC zv+4t}jp#KLU8lYyc(6PkNb2OTY$XCMfYIcIdgq6Kg5J8XJI(bn`88}PhKjN9;3$gB zgzAHbX08B)Q(C(jYHhy6)zGe?|KObj%iRgEG z<2C3%q-Z2Zv};OAPO>_3`;=!d)NRLfwZ`htHDl5&!z7TAZA2t<$s@Ocx3z*_%R-WC z2Ptv)Q`S(Zg+adf;!-CW=ZW~DytiESd+qM;bI2`CuHc-h|4tx`2+s){@Aujbdqhai zxPz*14uetFPrS{X9{+Zw{dwg7!66j)xLzfjPIeUzf;d~**K>V#zIif^NAo@jI zRjKIp7bl$QI7kC~)n7A0uuy_zg?ukEB2udlA5|fEbemnQ|{d+Uipt8h?~VD4B#Ry)BJoybUVCzi;Gd{s~%G|q54}g zne6&m;5_k*$YbjgdKHE{h5v5*6^}}$r!|)(rnf77cMN;aF7Pu7*;+3!*=AE7wM5Ue>pTv;VH+(d2CDq?YEkg0&rPw)Z zjofdXqf;s4T(SPYC;eYy24wN$5u=(3cj2TzNNfWjvJhDh?3*N5B?NphLnD7^zT9n;c5zh@X+GqUj8GjQ;7o?6tYP@0True z2(;gAr$ZWaj&jxaQx)RaYDLjis%09LE({vR3@gN<^u5ni|9wcdSw6F(Upk|Ck<@O8 zGuleLhQk{~9CUa{zFV1%?J5*+c`}s|&~CNSXlo+xAtgTu?x4XbzF>Ue;gE`7YRZJb zZhZXwpqwb;Vo@mx&f-UIDLV=CizxD|DDt79pya#MAMJ@_q~4X^>}(Ox8I z(Q{rM>CcXgj4ob3DgDxb+ug@`<5_+^SppU z@%ZOMpsjNt;iLNbJjC29od@`-k@-?KY&Po(CAtf%)IY43M_fbRAKV;XMfXWT*ezRL z8Qe>Thz!@Gpy^I|_6$ZOEQB!ZSC>8~vVxkUcb8)qV{7+|8CjOak%(7uk({(4e0u~U z@*R`B?ibq!Bv;p5o{5INZYPp-OAQs~A~6qjB{%vE52f?J;#XJ6{fG`UlxiU-4let*&GbiTuw`I-2>>vM5sM#Lr!C z0yo5Ana9p!r1(z%0Nm}XMy~Wp^^L1vaXyZZg<~HnjV%`Lvk$`h;$>MP- z4h*O~rafb;C+%)3q3fkO`yt12uv+CX)+Y19?3U@ao7P^RAHQU4`|Z5KnSk7bQUqcT zw=q(@>k4hB!8Q883Y($iZ~`bM%2asMEx8IIhjI;k`dKUNh3AEFpcF%iYz?_G=JNo0 zZX~!UNS0-@kJ0e8U3RI-=j%S2lgIBcaFNC-LF#v|8|Nt9XQV6zZT|w=*3hFiPLVMIN@~~}HYRW^V=^1<1 zt4-rtA^e7f{0!@K@%JbvMFSe3Q~o>Ene!;at+aqc@QuW1U8I{?fw67t%j(S1>ol@I zvVGJmQ_G*mB^>?Li$`s(L9M0sS6VObj)7-rDW&X^@rNauJ-LWJ6VBA5d{aM13aRx9 zVcf4e4(*zlR*dFzx;mZ^s5G)+?a77^t%iAQg}9);JH_9HY;5Y;RnN01GqY*u$6sm| z^&9S@1mpa?LCQajdTW}>;^ApcP+gxkwlEF?(m~`Sb5UZtNM8N+IGI^4yj6%?nZE-Ue>%v-x8X zLfWrhRVHZ^=Kf%f^1!axl2C)p{JJnlp;f!}hCg4~vyJ-I+=HDVsVTy!iS1D!7=Aaz z7GFeHcRb}Xtt@(6gtVYv0FU;}oarLK=jffN`SV@n=EszQ-9mS6i|owYkjaT9~9appDGxL;=N9NJknTyJ;5Ryk+9peB(1-bhQ2H~K!mS?cSXy_tzgy+#cWLZ=J) zo^gI1=OV^~sH{Jh zLO5x+==v^N)4m*~qxTjftt`AosCkX;TXJ@G>^E@ZG`{5{g1)>Pv-PkH@6Y!~?YkXy z$lWtXhUMqS;i;a`vxKmZT^RFD%Qj@;vdppjGRqX+Xo6df_Lv?A)nbV`jj%4yJnHSb zn}lx3kfMI=MYN;;iihr8shd@{YRF%$k(05c}zoV4$a5E z)TniRKV=mGm8e&T{%-bN@k7_A?xlGASl+xaA-(GF(BX8VDx=s35q=wcBK6*RIZ)zP zX37{0*J^@*jeV&4XJyJ*ILB&$$I@SgiZ@nef~{)}k%Q7EGnGe2+BhZu>hJy1rd!2F z7TUNmZIQFmrXbZv652SSi0T5DrN8BsJ`E{jUvjG|{{?f?_>4^%Ta(rF)9S1uwJ+EB z>`9rU{N$rG6eak*11KOex9}RhvoPShK?xfv0FKPsF@T461VB+HFg7t=pCIJ%0I25l zFEK>MqV9$B7Q89N4tog60O1%Q;>g}g*dPGmB64a5$fqH2yjS)_^M5^d{RkfW@zCuV zz_fVaAStA9Ixs8Vlk)e)SNUfbukk`z(sZpA__WOWlXiSaSx*D%T#6gd04Wp4)6wZ{ z7TdV(JPOV_%15UtiN^uo{MWx-(Cj@+S6L>|+el^{*6OK)SZ&t{bsqQ3>jFb@a{)w+ zT7UDw&YS=lXGUnv>ZN5R;c!0_pGSDoZYTr^VVH&^Io@KCwBq#R{xq3QaQcb() z_)%i!G=4@KA5ihY`vrIo0G{Tp5k@2c0}4+8E-YA|qHX7@Rh~r;J*s|(4>&yWho^|t z3CCvj_cghkr@T%~Oy6Z9S8faex%mzO#L{^hRfPQQH1(v+pGkOv>)gdJe{0|^m)afz z@^!0PH8S#z3cmXidY(z09+z4AS6jR@%KuH-+>+8%$ywPfIqa7Cebc1M82$VlbLs7Q z@-H>Ac3L|bnzxF$ANri{dH)$NoZ>AuF*f8acf5I@kMR2e%uT#t@&mm!wdyV*-pA&6 zwr#_a@fvQZ=D%}wmaQq&IBmVt(KqPx={q8tF8DY$8kzCugpSZ;PpIC5gNvu1U zwy^k);*9D0c>S7M>ZEM$Yq|}d!`2Cjk+15)=<3tJY&G|^5SE{Z5pF4a39!c!o zY9fy96;`j|SuzeGa4Nb*rC76!t-xMV585 zb}Fpn)n%K@%IB%P=C|)wToH^fw6$B=wne>pMXNu0j?JvE*V#bYx0RrT-ovT!vNl45X3tE+MQP}6R3VM>Fy}VctN&3Eew zvKRLYUSF^YC{++Xq`8dndSXf@(T*?JCpZ2VNACEQ!zHXFINvLMlh1o*=zT@G;;>=V zdF969BN4#kK5yk4S|8@vxu0UCxjFaC=W3@p^TW3Xx8wUsrhnTe=s4w~8T0)(A78GA ziuG>@bMvmrUi*X~{hkF~a~4{$V@rLp;%QA~{%SWcV3Vbap za(Mo^oafzv98}AzP-!-FhbcX*c2!*FYAHeh=4v$)LP;VW1Z6OpwI;J(tNkhkJS7@M zlhb$D9v7)`Tfv%M|Zikl6;$LZ8U>+h`Z!?09C-KC?5ft(JHDd0 z_pW{&i6YgP;KL-uPy3K^Kb&Q^@Fd9{LEV#cq~XSd_3+QWZn^7V^P&O~bSZVk0;lgb zdDa)(qtzKSAcPww?$N8Dk@t7c_FS=>CHk!W3WIB`@15hm&HlvZ6}z$xh+R$4Q#W{L zf%$*p4o^0quTGYtp`rYkVRXJc4q=ej(o zQ7rmo?Y`hLA!WuYn8#T6D{6&!07a8t!Bv7*i3O-14l(R7&e2iH&S3GH#RfVh;E8Fl zLRNTRb1kyt8OHv=K7^Z<#c(!iGS1jc$;nb}3Jy}I4i^dC(nlL_@k9l!N0)?BO{~k!p86xy>Dz9Vd@2u=`6U%R6!6Qf} z1sj!+)ZxJ@#}XR$4j<#M+E0&*rB-pi?Os%QR6hGS*T`()L&;7(Tb;&av7g`j_N@#{ f;NS+5=Edmn4QVR<#-6Gv6ut^=s|&l7fDpT~m!q>S zyRe8DyO6lx3kgACAt5Pt{SO{sN1G4quix7^u?w^7YqG!nV9oy0*3lD#MuEo0(7?i) z68%quC4lqamR9_~tq=Boj&HR9z-zurAe=r-1&7MW_=#Lzb=YbyZ%l zLMCT)MA2(rYa+_5ni%h4up42T;<{BUZ`nL~+QVPr^n~P;8w$>^)}LEbbw`HxQZgml z)Gg4WhyR|R?Mi`){fg~7(1&KC0ZtvehjkabAPDBS4VCuVo53?PGh2<{aUJwU;(YAj zX$DF;U90{2v?Vj}7pYg&FK2Fo%z$>9J-9h8)931ZChU@S%F@`tIEplR&G^Qv0N3(e zT3Fq#3CKyOIw<4UkPMqxfxC5n#dLw_#rWbHnzJ<5~!#uN}H`i`VA8 zG7h;HC)G?|S~uj2oSuB6!mT+!@;7cgGaqj_eFp)BzM`%)#mI$bO#!0)R(eB&sawO>TW$R ziOkCO*223~(oN+kKH&cZ`}RRiE^GeNE#dpkhY zfCKA26m?eTpFX6k&+&8>dX34o=|XyG`d7TRjeGi)?BR9ByC8VN^X=1}m)}Ui5*>wf z$GJ%%pQz43YZ3~!-{3oVV~I7Ot0S6sdEZ6i=Tn{Vy_(pXp4>6^mW&ls^A~?~^rkuB z|Jd2?_JUA_Dr6&!09qcnpbF2?#`7&=kx?n3WBa8q@GQ8|J?C?@hmO?ngRptwLJ&g7 zufRbpPLES-$lYW{b!A=uUA|482!QK%UWaPQO&(lzg)TqaHP;#Tt$mMbU}A+U2*#}? zX&%(0EhztFCy{+V!7`Xafa0`k{@y{?Az)DWPg(kV=AQmiQXYI=vg0>|GfFBnmpCyK z=CH~6g+&TH?jLp;jpfTIiz4PWpz>()9D9htN7fhwzuKx(aU1^^-A_9{Ss9l+J3vmk zPW{c&qDVNUS@j@$@kk2O55u2dwj-0utxNIVHW!)HT=dLZ{&~Akaa2BiX%6FLVcDrT z`hzrB?x#%VXZW(~qk7=ASC+D&LZSI_PpZe#%q%%7P1K}?$9Ik4_0xLKp&w5v%p5`w zrKrWOM#>~-_|J3AA_z{2)xPKSBr`lSsLfC|m`uXSWHIC^&>TKY%TO0TYc|Yn(Gw|q zOCXD#Pj0d)_M4K8Kx!w%=9dp@1A2z*DA}r(=onXAq}K5|n}+0R-;hxDl=Ae!?n#gJ>=cMseU;3+zi}ZIly|iFvgYc%{ zlgE)HCVL((7rj3t82$C4f|`uIRj^TfEvC@EbB zsp;wc=7E%oxA9f5%rlDQxsZn`gAI}U29AKmn#1~6kk|*oPJF;heYwC&*_TnIi0Ayi zx?G01%zMhAR=|3CB|nm|t2gq-nt{RY^Ys^=v8~CILg^BWA5g_BO+Wkq62lC`OfY*Z zVy9OCx-1V76xbLXw>!-|O>d$<)*eu@zhrF1M&}~TXRt+JFPbaDRZsd2=J-**tZBt` zhV22rNBUm*w5N|l=$t{*cP?(Sov`8`u!=o9uZp74^W7;h>!w@7yUWN~yLMSMW8CNW ze<+q5q?vHaXBQ?H_H>%lX{B|wdF`(=6u?1hVevFZOxcKQjaL}L_ER^SW zQRkYz*NQ*H$lZ;j#p1vNXXIW>+gf4&_O|xiZY3^Wk|9c)U`-J@KO=|j^O9H4lp)~+T~di^M;U#<4LX=XVsnM%X^{W!_-8S7IG{Jj`IC7KCbA5=JQAvMrLX_N2qQ|^J9WjG(HUjvG z;`xQCTC-7UsUk$Q9!F!>j*~Z+BZ9{#J}N;$1brO8T{~elxKLa6PV>SIH&Dt*`q2{4|)-Mcf@%$AM<628_xkwD@-S zBB7oxJ;bx>gAl(MDZctk$E>~)yz&F26>z}cuYaH$D8twO7BN`zh{7dVgwAX4gAMJf z9P7xeeur?T_tGbW-L>cq)>v^rCz`CHZYj5EwviVKlu>V62C6DSQ{`l5iM%*e%MGqE zpftu^nf~O*ZB7PBY-F3?ASXQIi*n0ZJFo-t&XC`w02Tr14{1{eXXGZ9yNoCBVU?`M z=bO{O!%^qO*@n*Z$y9;I8W1m0yk1>^Xc1wfHu3fs7VWpro*(9cg3mJ}J4hXML|OP& zu4kJ1#vZ+237wgdsvuWlV&M<@OZTKlXmzENeK19zl`Q&Dgf`k!*WsylN~B{#%S)}9 zyIGi2<}1!o&Q-beXBHaA12%fcJ-u4KUZOelU}K;tOc42F;o@{L^%;0Jlcd10U@f4r zU3!9QIG-n$BoW<8u>uZ|1&)#r4FLL?cscP7nmV>#;{Y(JBV{pKnft^g{PoKvQ+#D7wscqcc&*OtMll)qtKd&E1WjHMx`0#~1;Mw@;Ut zpYA-YN+{lJ)_?#-4=(zuZrj9*7QP(BL`Bc(GUS2FGpeM&ZHe@6%vn&``*$4P_KxN| z`n<1*E9PiFc2X$dk$STfa!dyr>2Mt?Zv=q7a#A9tCse*Z((bPF2r%Oa;HooXO)GuU zDLYR!vjFw7^$A&UdZ{bDm9!rIPXZ>31n(-s9>uZs>CXfpAg#8_){lZRiNFJU(V_*D zvz$scd%$$GXU-|B;dEnbKdw~awOC|j401=NO{83bEO=fDzK2vAx& z`+OgkU!vg`=8Uni5l_GqvZDVSEwCL$8CR~sjrwuEsm!#@l>A{n?4PDsw8JKDpH$Rx z^W2&G3ePY$SST6!SMW{3Rj4*cJ{t1F-x;f4?nXfNbU1#Q${DWeq2=`wOKvnh$BpMZ z8Xs?mYKgAfW-#(TOaYu)s`^n)gZ>X@U?~IAp02T zcYvepsZ6OC^MhQ2{MKr`sCP>g`X@K{&P`GsN%@}ob*m*mtlvoJleWAXU5Z-_YeqDy z$H+A|53$VmDZG%kI1v0BeUQ7n*!@ggfkyb)%M}?`fBNiVF&xY*08~h6{NR81&6Z<$ z7+T;L-(hqKYKBX}C16WmiWTUt`Ry-F+d&;IWup>F7Fte^jSI=_f6G{?`TAv9g*bSGcJa2A<;7s&}JLS1&vGPF>@{q*ZFhgCg4DF1B6mr5NrW0#CGQM1l_ahqr_ms=jW2t73 z5>YO@bsujmrr(ckkUUeTMSCS&kp3C zENj;U|1D;BFiZ4)Qn|wopZb8c6u6Z;#tjmGj++{59jBqVARJf9!rg75853JnKjB{P z&BnkXAh!36<;h1<=@f@)FJ`%dr50!y?CEc{FAzVi-**^|w$D5k&x5<#e-(kt>0b=N zd=*^X9o`#s(+G5U6Paiw=xU^A)s|NA|E8!p<$+-*dU5Uv6 zO#gbENEso24Q6*`r|J~TBYJa>vv4CEa^1NyON@SPc-fPGWpPJT_chv+x=t?ZEz02vOgJS*65uaE*ZJk!uy6iTeTuN7? z*^`Ytw&02!P5*)yo5HmwMZ;zQ`tYeXRlz~cgf%w<-o=La19`U<4K~9hB3yj`KXZZlWIF8+d@B85x#SVa9EI%{ z2GbgE3omcG8ysU9jfEzTT3G09pfNk_6bHVK|u^DJjsz0+2 z*Ci~(C`|f<^6NK`@P|N&op{1UqbyOKtG?H)F$p7Ff@WT!CVkwx<2yBo4)_s6PN|xA zJ`ZSPL;c8&JLUa_X}muC;X@6&#u+XCW5hYpvLZ{R3#Df*GHMkrbk@!HrbkmRZhNch z)hI*Y5-Q}ZeD3?}B9-lX?~y!mx-m=irRm8YAshb7*qV>zjyh@A?0c`L3AlvovKsE~ z&y`NP3svf$Z0QB(zeCw1hS&+-=%|6w-FmU9sz&7d?}ZjM*0Ki7nhQeBrq=AO^2b1t zFMr{K4?0DZeWq-6R4}nl(nllIV(?7KW7{b%q*?&7)sI|xhg5Z&%kj8^iEPma0jaZ05t^UdmVkNcruCb>S4v->y)x8lJY$QrEd04C z3s}jZYd~p{?1q;&au&@B1oFj9Er48@`Pzw#wnOCsmlmIerlF4F3Mo2EA@fqV|M_+o zFNx+TI-laJH3)F7b=vf-D(EydfGBx{eP;b7sio)1* z@Rs`a9*Q+F1ExMP6HpVXd=(K;B5AzXI8I!;D>VNQwdrY@p@-sXcJw??wed)6X>C28 zN%08ltCxLa^vXVYdCikvU*jw2jkI3&@?$_iZu(|1ZtJdQZDD_WA*-1({7y@Damge> z52^Lt*Tq1eq=5!v%k}rs1%bp>;1S~{=SSk?;P^oTHWt03=N}TwQT&P~V!_P^tuxn( zWTMfz)7SZzzZ>M?F}_@1t?qX~bgn5!DDZ-n zu7cKk%?;+J+obvRqx=wzIGpI#8ZQ#LpmbA@vlvo_XD}~nC-3ICmgFfz2*w~f#5 z+3|dD-`4%23u6;k7|In3E>W@~XigUR^d>lS`DlkrZUxLK?SqGX5*7*bd{dzaq4X@1 zu-`NJ`qW8A3v6<|I@T#;TzLD%CZlM4At}!>Ubfid>-Gfy!KEI$_}OVL&()5>{p|-} zcD7^gIh$fp;5!JYzMid~1!0SRKO~^#%BXc4?5{T{z$s_;J**(BR*PpPW3TK$VBy2A zH#k)gvJm+0`_4nS-o5$t-K0{Z>uyuwUEyt)337!$jy(t|p_|io+W7NC3HN5Mq8hxY z1hbN0duT8yo@y~gMOd#OX{f4CfIsS9{nGF~j&@G~j&|4M3WuD>Mq#RErH{{+=OGzY zo`vID21?PL8s?$^RH_#kx!HLF!_@60*l5IS^9dw$1V3MX^7`gq${gH4l>12Z%cNM+ z6!iHb+pK$vS_VGB@PR-@SN3a`UdNw=5^z8wO@tD+URE{gY)sWYkD?YU;wqlXWQ*2WNdJ zUIpaI;XGZ)jyIC{o9$7i%P+C;`uBjd{pYM@$+V^gt)(oy1F7jZ_ju6|M<1QimF@|W zPTu9pLC`c2slz)SP#u1fEDlK7H4Q?&e3#Gc-L67Ax)`?*_F6Qt*>-Xzu-oYLl@v4a z%a~^ZracGZR1hW0Mv~q-j=AkM;U9mYj_?&;<$`hF^9CC{{3L?UT!KTOItSJE*J9AL zRG=1$hr9L{(RW>1ZAl57ouk40!CNbu{-hV8zG@4E*(%Axgb@cvOW@mxP>=g?+7X7l zXf=l{iqF>>&qRCa-OxTcO02xuo1f>;uIlj&ALgYk3k@vTt_U(V-c&%9AltuDDN|FW zfn)6JvX4V(>zY&C2$#7gY|nHYgvoo7dbpZv!yh!F(#IkEOHl?;XG@Qc5%;_>#gu0EmQ4bw=Uqx^H`c!jSq!u&9*HU5?1d%uE&cJ_gH{A z)F_lR-CE{M44bwWH@*1bd+$j@{+mcHOHTDw+>2t;pW!w6$gNptwpOTR>BPa@UbuW& zJd^V3Z2sqc%UYMerP6s$b1`@oaNdHBT4YdVC7nwt>UvJs`?d}lUTG0z+IsiWtNlpi z+~WRZw=&2#X99-Qb(&LXuB2NrSv?km{AEojzqz%Zk&5C~KrYnM?@(U{Fxm6>{g+hI@Ks7zxk^@QkFNl7O4T^?*X z)0wWxkg9wO_WzqGMOgErB4?sx*-IUlnMX}W!4Zs-s>Ny|R44Af58H84= z%Q?r3)`uv^u1^E0NoU@R_--z$r}vcHidFpv7S=s@NX^aRP)nUQ5m+z#WViEC z(A=ld9~$ceAXW(^jRmzn1pU`d6ZERF)9RSxw`4L83^1qX4}W3zuhTT*vV+=Cc84aq zJ#9{99@$X#t5E1NH(S7zRR}v30ZvwZ2 zB%M}H(e7)D=ULvjQrAsx2iK#mcgz^F;M;ABpm=!!^VY!AN(BHLU8O~*@0{yh(-F*L zJb`ujFjiKezA7|cmLQct|8Y0)fi_r>D=$kyAk`a-rZK5MVqB;tPFBD_W=;I0=_rcy z-&7iYnW|sdByiI`Z@-ly+VZN9Iif!zeQ99hClguYxqBYHiuB{ZOwZDJ$#b1;|6v;z zhE4k(H62;|DhYRZ?2=itt=`;$CtZ*m%Jxkd1d&fSmN`PB*52j zE_afRFYBf*xis}VPemghyyEXVSS2>~M=CZW7V_KcqKqCAFCNxU+{MG5NGveApS+)5 zmS=a!2Tku;Z1y1+gXHoYH*k_@g$9C3H!j}d8FmEGMev4UH~L^FIJ|_fEYUDFArflU zB*@EljvTQUIrf_1ZB;EVQ4Vc)dJWoFM;*i!^-C&yq$g$4aPr^o8v%-rH>pazS+AqP ztI}|_4z(wt%F2H}z4p~folwhOK4iMlTwq^{%-Uq)@wl?}LdQ$jQ@MbhC^_`re`-J{ zVXC$O>Hy`h)mgxwpM3cy3%v*gXAT|PX8iS@@8xr~A`Owk_AwXcyVF50;OB2MSOyn? zwxRDQn?BuFIOg4V=#+|(_j&0G+3e6IIN1G&_O)ht2z5pR? zoUf%8jkrJ+((zuObrPDc$tW0cTL?m45w+ijzuM~Jq#I>yHS8<%2S&1H?nNm4pc&dB zSvSzlyhuK{UVY?3kx6@<<)w@=oC{yA+<10c#CWPk;0ggclQa~$e%~*Mt}VxObmUGiFjKMb4qyqF~6@GENI;8Cv1NH-c$$)qMU)G0+! zQ!lHD7Y!hCCRi6dK3b>AE%>6ihLjJUUl)83ZB=~wH>GM&qC3A`ablf7vfOgB;x&cH zhgL<~?*X%mqdl({AfL)EOk%*w7cJ9Ftbn15l;|LCK~Gu!1UoOk>Tl)K8xC3{EjkIk z^s}q^RbrEB7q*kLyES!YTj32;ZE4H1yT`Sbi7|E@sm$^wTvhuKL-H0S{nk!SD_)R& zQoUXEGza9F@d#fME48>8X5lm5^aeV8XA=yOT~7xSn@U~8yV1Pfn~t%SlFRQ~^!%R9 z8uaGy!MBj%fwOt(sqG*A=}d3G{Itk=DO3I1rdcNXJbzg%ZYe?w84ic zo!hMuQ7_^!%x9TDo43>*Z}@HV_uV7M6A@v#bae`%>G*QiB|*lxa@Jv&AHRUM=U2mO zjY+>Z1R3f_-zFRsx?^UX)DSyE1x2ztn*1#8!^32zTk|qMwG}lSFmBR%YUZ5FHu9aZ z-1cf$3guO;hgQV|?$Wgb+`|@GkSY4~YxUn*z%URq0Pr~n)H`sPvGFfTwVY3iuQ_AD zy!=#iQd~RECyC{j8srxT7X6V0z}-CQQ`Y%ez>lR6U_iYMYG4+yP@foyNUBI^s4J9; zBL!p3P>&hSvU4o&KXStm4?u-^_p9=d=WceAME;^2eT+w*yDvRO_%+8Jn9*z~U}@4C zM_4g)AX2QmYHVqova7HD=9@%#`oV*ZhLcV&Om4I-syw!qLP$cC$H5N+73MbCD4#Ev zD_Q=HTTc(u%tOc6wO;~?h~~Bx*gi&dC_$^~CiZo<(Sf(YrXSG;Cvlm%JzTBhN+(=+ zD?ZFTOX-@d56N?RC!Gg;Xp5icCH6_^YhTC>DW4p_Ban`5p!ceXD7$+vjqb0I!mmH_ zcWCAFMWUBG^&d2_0DCG`v`F{U!G4Vu;kUVJ5DClXDbP_^keZM%yZ_=I}3Los>^%MECHZONlEGSH~q(Ax7S4{5Od~n9lAx95ZuEjl1&S7q?+6g?8soFtyGEqfVpNvoY-|O!0xCwE}(A z5FTX78+D!6i`~bcQce!tROo|bJ~zDBdq|#hayrLq#rzpLrkx$p`>}iff1EA~{C}Lf zzyO^&Asr5M2;r*`Li)Kr!A*2yv_zR49*?2~xP9xl>iWLrmu9AoKN)bZcfNs^4uNt7 zBqx$xr_qp=%k%S^?7ndYvQy&j!~fC0RuW<<2OzDqw&ITWJ@XC(Gr)2Z3W6U4d1qI9 zZwXuwfwE(D9a9}yxest!d8Y~3v0}^wsXOd~sgS1N9Z~y4-4)Rg{isB%BgA)=v9#6( zl9_ItjyuUrx5MNxFRa1QV6T>M-u}x|Hfq?JmaB4<^ftCPRzC4Izg1!wSh~Gwi7>RwmECk6ve&rl4%_Yt@ zAP14Y5RjCM7ql(ch6U7duoL%;Afl5PK#f%3J+Kr96^?^S7ucKxnmjfI&vlfr^Rj#+^)tR8&LgKz#*K1sK4S7qm`4ukX z^>T;yySR&bAA43u>qEQ-^c4I%yY4jd$Q0VE$)X~qAMj7E>El5|6BqyNRCLk%X##yJ zyH+e6R2)e|=m4*suSBGu*;m4c9v`>)474t!OLt`bsp3Z*<47523|BC8iZ8}W6%enJ z!nHQ5y}l9@dz#vhy^k#%Az-|b+d}3rYteOfQ2o$hcx{>RX~I}lu!;hi#tf$~pN?qo zGh-Oq{yS-R+faWoo}oIZXto1H zumuM}&H63Pyr6mjPkfV(Nb%M-qpQ0#y+zgz5zAdx9oOYlk)*Yt8c+OP(nNr$U|q8+ zBK9V=C}7$3Hl5jf@YkDNR&{z&-J}ibJSX6@?3S4uO-l-)u^36n_xg>X;s$TBe(3eS z2pwP~L(}~%hC^J2#r>>Pb!B`wZw_Ah$SiKmdmRu#{prGy*@ExygG5rH!?zefyClQU z1zbcHJn@@bamz65$ z{EjD|H}ptdM_By)@emM)A&rp8AvYT5BEjt6!R=WjsXV90d>j66K{HR}M4vYB7ZsB8 z63PGoL|m9CXT%iTKRee@R{&XJ<{uYH>gA62Qk|yjF~`NV4%N91T`*RAT3&*83rYr# z$tMSo$&MA_tN+=WKc1Z4i54SJ?h$YA#N!c zbXUckg-pMUb;KhazTTwV;qL;B02yU;;um*MLB}2ix)yWhH9p>+obedRmW2BDhk$E& zC4qS3F#g`jD1b9qx)N}2unB(?ub-cSDnQz>Ak}H@HV{8BTIT$xW&M9zE=Q%U69zR( zXZnMJ^7t^?D%WFvae-Ma!t6Pzr3y{Ttz`swKU(Av+4xj*UZFfEOrvAL2q&2f65wy2 zFDf1;_rOF4iLOIlW($JEY>a!i%BU)8ICtO&H`L{u-xZ4SV2=h>oVJ6Ux$o{D*A6g&jHW897E zeB$}*&2TaLCF^*+2f8shK*cKJ%GvU1H3?qHM!Ltg0&8JQVhEQz+N5TVLm1rrd|a@6 zRB>r%Hoa7VMd+|s^JK-Rt0g=BBJQ|NV=?ee@$H1$_|{xN4UJG=3kbU}z_82}eLnR) zQ}Y5xHvGJQpvQVR>(`FpzRY%e`oRW&g}v&f!CHiKI(%lOizZ2WUSxNNsJY?;*f_WZv@KOVn#0`?_(p=L4E?y$8z zNc^pxEgKKvi;Eb3IlYN40kRurmoD#9t|cLfzf2s*2Ql&~RJDGvwTzO}I&H!5NLTd? zgFqd0GG5hu_fKThr$-l&fAo+m6%UQ!Vpy$(d++xSm z;TCTv#LRqz4WT0tENz8BXbZw9WQL^mMe}Bm6^`GR{iSQN#!mhBL!-HQt$hcs2HAPC zWzmj=Ow*uP9Vs1@Hu~+C1|_+fqVW{(z?%;KA~c!w{<4o z?~EC}eh4I*M-z+F#7?64Tu9n}>39AOm^qLMFR|=tALT(pbhqUaW&r!=^&WYxzkW0L zK;9ydWB3zs?R>xd@Q&8t&OL%xT;no6YUvA6*&X*pL0VjYXCgD!D+w)UF&d|{6SEGZqIy=gx4n$eO>Y8w-cx+ zW>P4FrKV*)gXftPO3}9CFO`4(4B!`)jb5c|NO#Jmm_f7;IdF%i+E1Fk#dckBFa}5& z{)7~|Y<5I0fb!t3^C7PpJRQx3F8Ab>G?K_RZgo|Cp@Trr_yQ11Ts~`%aEmh*x*|j9 zya)(I?~enO7hfA>3eBA1tQmc<(qRyg{$4CM49($u=Q7yz=R{_l#-iU248dZ4KuZ@6@}mc zjoVc^j*lR*WGMW<9uqwDL^2c4*GwQyqOT5<5GAyiD9lpy1DuOx1D-!dO(u=?i&fXS z!esUSjC_I8?!qD_UX&DMM|YpycB4NaSZRmg8wh`T9usw|B>UVQIrD@b{7i7=G=2Fl=pc#ZIPK&DaQ9 z@7CrmZ->tW=>1_>ifE3*M2aI@mr*hETqZtBV-31)N7m>43bfMJXZ~k@SCbmb_?Md< z==RSitSA7Jv^|+GpToqFZr@H%o5>d~e;oNL7%4}sGMZ-rg-`FXq7J-`)0byzpOadw75Y@8u9DStT9q%4>Bk zme+Ikf?%NBA1io}9qm!O>{$$o!l67&+|CL!6A?YDh=F|YN(n5UWS(eCC z{_Ge;LBWC?s6P%-$@vMRZ?#yrnvhc?@2iiJq(4F69^QL65se5cJc(j!TpJ9Kv>{oY zqLdepKwJK4KBw&9vr7`A7+3KSir<`lG$}$5rgNXi#WB3f_!I=K@N9xskHT{Daj`BNl>kbfpRzc8K^>|TAhzRFK?Ekuq< zXDd_NPGWYdEzbo){vOjv`=bUu#?ILeY%2KbW`kpeEbnW(3bNgl0f$r_Z^z92o|Ar! z*>dyBBV?J2C>bz8s~iWr-)kL){0z=={ayF?TfLWu&f5JU87)1fLc;K`8i((xI-N zgFY`qSRj8Wnw#atyD31Pv1|jfsgp(RD1BI~h&VcULP)?11|*+9Ow7m^Tf(FFymBr^ zeIx7WKYzU8`uI+suf?{KCT@l8J)2>u$VpR)Pu`EW^!I38LdGG12+8Ad^(Q)?6ntbD zKP!2orl|z4{+J23C<5-cR^UowIqM?R7fWD08hxcGEV#lv&m+41!BZOEO7Ov_lTbLd z(g@b{PVD`g6I$|pBj5NN^?nLwtMc}Y+3rlh_BEmO{uc&Z-0>Qx?BAo?Yr8hXUDGXQ ze*Coi0%8{6L{RnEZ0N3)oGF1BuWPJ*2?=mctoGmiqJgAEx#UtxN_uOz-vYtP;#-My zksB6kPhRjAJSU&+@vNRk2_jp}#_#fi-+@RU=h+DbiTV_HazbaSkS-rz)~IEC6_6Pi z4aOukBaG3)f){K7o2b=P^)X~i){98HnuV4_@ZM(2VKFNerob{IC~2(VGUwIUQNvAT z!>poS>Zl2l4-v>$nQb`~uNMbPJteplY4%E`&pnSnTzNBVXhaS z_YK6t8$)4+ZN1t3gPCV_;4)@;)&K~l-=J4k*4F=eO5{n!QakI_me z<+9bJs{7sStqU?x0!4!iY`lZEIpj{>x(KEl@6F0Rt+jsACyE+J6ClUm1U|29Y@Z&C zKlzMwsiO9ug?m8mnr7~kHwai|$J1_IzT6@fXNpAuc>!(qIMyz@5`JPKFPD18{}E6Q}F+0iPmr<5Nw84|_z`Dg@DS&D2l z{pBKWSIvM@tSOmYG9}Hp7`c|KoS3ZIKOGMk$Gw^IRwVXI*)7?$Uv%6y56>e(U-`bf zy-Su5wViW?eX_XmMJx~#jz0#vJ*VHuAr?UnbT#~rMVmQiIfvTcmEgm z&Z)-Y3QX*NuzJu7ZR@NF{P9w*T+W7WrEU$OqP$!0|AVuxii=Q%E-9xk{kZ3t_0uNuL$nXMsC8uSIM!)W`N0G!$Kd{2kMUz*&*%7ryqi&!%h` z_uMs=@(#+_8NPrXrN}xhB)VV>V5NIo6wRScW|h3g2%-d|L3O@TL4ze|oBp{WcP^ z#s9IXs3-Wq)~3k@Fs?6{_@0#Xxm9uq_OCD=GO#zPd)y_6?D&|Jyv)B~@$-aizwYF2vIBGZSK@okolD%ZMp9wJD8c|kbP{)FAjEo&|B-1Bf{^!cBz zIc#1mDf+yD>3gHg6&YtzYsE==c6^4|L@#%c$hg^UPM8u6_df2g()WM%QAp9A4^$*F lJuj?`LpSl>)XPM)G&kb|Z}J<`X_zOd6ixp9PwW2v{{XOF07d`+ literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.pre_map.hdb b/SLEA/db/Chronometre.pre_map.hdb new file mode 100644 index 0000000000000000000000000000000000000000..31e4c77e7a0a4d1f9e3dcac0447d760ac9ac3faa GIT binary patch literal 16494 zcmY+r19&Du(=Hrm<78vowyg~|w)MoDY;4=MZQD+s*w)6j{pWqZ|N723GuJg$J=1-6 z)wHX-kU&5{s^CCDY`$;7Z(a>x?_gnTL&VL%L?q&5VQoUh!pcs>#KFkS$;iUQ#7(3O zuy?jF1`sJ28e0*u5GhL&sRN9N#7!(5zmx5~fPQ0qU;O_==Ar(F+6es*bp<{5f=ktv zQoT%r{+T4{3(C^pyOVXsly-MK?}Z4KE$2eHDOb`lzlqI~OZLIVkDuoFfkhYck%&t82V?8yDgfZD`*&y^cEgm?($3hV$Ez>pvmeYA#;k1 zwWGUwOSR%t%W6@p!m{Uy+voHBt?()yMwhbTb;upk=2uu56wPZJx z8}^IGzkuKT>!!}Y-G6>L&@ln1-4WcYW$?~zl0^Cu8}o~cUWBebgky_oLmzN&8he)Y zZgyYYLqT;zAGEX)9?4Q(j&MyT?T-l2G0~AY3frE>SJ^?I5?{OhA_a9Kw>d$CqqP} zQj9$R=32#-3YwzC<6#h|CFhZ29SIwWEm1?f-3AO2!Jct>ase+|YKxRs zu4p&76D?H#IkaG$oZyqTL`HU-2TpkVe%W(Rl;2sKT^@jf|1`<|;$dmgoU)D`62bsS zGJ*G`*AA(7;)?L2gQ~{@8WH`6Sz>~6{k%Kg2W=il$X2msO z{%=}Mc)k@Q-;P;200xjQR+Fef$kcBFEDf@n3@)?tbYHd2%5B`y`Sp;WmerNO=(PO$ zv{(J_)BI((9Ca*MYv@ml)z5IA_B%;jVaIhN6NHcz*M z!U+ePw54}IpTZ3wGQiVK@R6X~!jw|a21bC+0O-jm@Yn`9A6T_#1wuYQ!Ff=y?ak!a zEk*bN6_mHS>sB@SK}4`IcpHUB}3Q6>Jj`cZvi0XO{_m$G_~S z^>iNGR0zW9dp5{?&mIe!U~?}|;2{SQYu$unS+kZFgQRY^ch0Lbm|%n5wZ%*l4-wQE z9?rPJ3(@8<3#l)MNm?{acSo!-?^|rNEjgZvDK=r?kCSugnjlZC#le5NrvVZer%nSo ze7~`4dE8K@uXvb55PV{_P;pfcdl-#=Y|y~AsO=sV=R-(f#D1Cbae z<;o#s5K1cg0tKg_H&17Ju}Yl0CAb2ImKY;r$?daZuAwEwH%f(@{1?qML`;SIZvOln zNc_z#Z&x~L8xKL(j}TI>jR3b;ST$rDQFp3gfll)n({CK%A3)tTfTkPCHuO0y#JnBP zY)!%e*R7f?qhV>S*PeX6iOwRaQ!3vL(G1t^&@W~ENX!t7CsG?hjG9V|Q_jYxY>mAr z_U3c`#vnloIamGf@9%xPH;0%uo{%CU7brmgiPNU{fpyZ_4Db3JSQ>sk7jTy-1A3Jw zwhDZeXQs^Q-+S^Kk^pV0(zJ^9N00J5tH7#zQkD9uyA$)7FSjkLoyn;wZpp|Q)RT~H zl-_DG25@PJ4)Gi2P`DY<bL?eNwj8PkS`5K`l1qdpC?=+aDr3Y`DaciIX<5m7nu63)X7ea+BrG!_s z+m3BZN(E7De=Y$17J&3+aoWnH(8|QzpEtwOq?^wcS7nY{W2juiZk9Q=)O1UxAO z?jAf;J`TnfcGLacpZw5|Y>ylYe~Jr%2)w`lsXJkq9>|q2E1nFlet0}}caG+e-^KoB zcrpXIiGI%xO7hbGkpga1t%M|1J18bGct0o%@0kE!iUysg8Y%=%Gb|h|gQoHu{4_iu zpH?NG>+Y|piVhrQ`Y;&-EA3m!7rSZE@k%@$wkj1QZh=EYT|s^8uQ}2o3^X5(F)I9g z8aq<)5yJfTJ*t6`a}0`IkUKmmKZz@QJd@VH73yc`gk+eo>clKKgsE89 zT5&d;TW1ZdReKK@nrLtW81VbuJ9dPDveJ5tc0jLzme6(zIoW&l5v#3d6?fMV84p)< z^4>Enz@5M-j`)>OV)j-X#N!&>D7BleB)51CKUzM86t%mPDqp7uQxI~M);&)!U$e(W zpHNoY9^eKav(zwO)9g}1FP4c5@7{>&G+L7}Fy9pOk?}>$PPWCPjS26_i|$9OF4%;W zZ!kn^5(w+h+yh^*@Q|>A)LXmUqRV?65#r8dg&#H4X#G}jLDx8<#GOmuLGJG$5;~B} z?tWFainxHc(*qgGzU^ODdSGJt7P^qIqs1#f8g1+1i^mjL5V80@%a*Bg=8YMWsaTi)2>VT*HB^4daaD^yrcaluZzB(_S zCX;f0V&f*Jy4o(j+OBKQz<0C&FzR{7Y|h8gwBW z$cn_G8?tfZLT3y#>Ktj)Ir?jGwWwOPIk2Y(Pl*y;<|V3jS4lGLT&JCRC(ivhf_=4} zojE(4ow+&WO}qn5S@t-b?-=dOUKLMql(01t(+>EJ_E6?Zj7CZzkU~=r*8u=1)3rh} zQ^`PHu^F51xilRdV=mGcaeu}^qIde6dowGA^Bet2epgO1>ZB}jpQgPm3Fp6!&oaVf z)Yqg!@2Y6OwGR(SwuzG|BkHK7Ky2R^lqz~TD80Cm2!D*l6k+}_+IJ}l<+Q`VP{vbv zWn?bBY1Hc)Lhdi@qAS;yuCsmAFIQ+^7(8Y~A1YGe&{0JkC14EHBS7xTG|GPrjnl6L z#_8YERM4IEK$UjtBtaIfEWR*$STIHq&?I##Cmmv@>=}g@Y!fI>V62Ysnun`0S4L3F zWy)*?NqT4^mY}7;DV?86--Z(r$|sL)wHBoS28y_z&QMY!tLjsfHPr6q>qw$YR4~9h zROC?X^4p8hI@;?it38brX*@1O7(E7rs(!Pt(y0EY1USzY-ldoo55VK{Js*`$Gz2nZ zXE`ng@O)PS(GlT)7vuOk;n+d9jCmzoder4f-b>_FR9cmO@pnp4_Ielyv%ep$-mmwD zdN#Qjm`r%6Cd4;;JS~Ym%MYRVpJ4}GzvhH`Ya(Iq@K@%debpt;slbzbEo$Cg6VjvZ z^nm3f8$~`d8pcWXm^*!Ox8p>7lM@;@Ag57n;46m0R7d_-Jm=A1bcV9d5M!vsjlSW& z@4MLx?2c|$*mX_;6~UHMV5m3qzmKCW>2SR3-TO!V&wR8mU8JDcqR{NSoOW93-NxG- zEc*ux-Hcu5;4jPEaF-VbN5$@Og+xXx^bhr&#Kq2rgdbm<#zDvht3KjlCp)^4jfsse|vA6qVvB_8;wY`ycayCO6VBZCcX^d+@5Q?r~{YX zGCj8D)ziIJd^E(UKhJnf>fL_bXMGh%;Y7OX&}Ub@dC7Q$ra(w<{621bX6&3Y~d!7+dV&%RGZEqQD^!eA2~rl>J>@{2$kHGGLvk!63F+ zKmQ>RfpvNpOqPh%$VP->qs$_sIFRf*qcER|4{m?nWtj)B{Cf}~Og6>XQ|jdS#bBiK zcmvSNVbJ+^y>^v|RDftb+*v$lEls`RO4*ez?fJD>f(V`cn;c8HYy4v-Te?%IdRxmy z9A0p0h*9|I|15H5tYtlMQ~d7f_WwDJxi_m`)l#g17AxhD6Jo6^(QV8rV_gmCZf3Oq z;*jz|{4Gh5f{-A9B!6u>$ahP<@`3zFCMir5+`6gKlom9he#8i$>|64M+0S@O~IzG|m!I794KB zU}~Ub)*)RiN}%#s?3Jv*=6;V1nZD}|28s9w{snF9uv=a+55&ayl4^yHc%F+cq!iYT zm>Ml8bz)Z69uP z%LY;X&);!m_P89mm8~`Te#M&N#bBn^YE;#tq?+3SvQAX?cUYgy)Ev@KG#dm$9M%(L zDn!mjX_w+#SfJE7*tSeu9Jx2hx6Fy7QuuJj<7oCgpbP=PGa*?t7YLB>$Z)t#)5tnd zkTt(dczQH(08)VjVu=DrekF|84+J(udRGwcOHzAs7ip419p9adIU?3FyIDuGI3!|1 zVUB(}WN<@%7RWg4Aiubx8R{7q(NjK_ag)NW#9ib^#LDne_>>8K~@Do#RE%)z}9XQ%oyp$*m@xZD9;_FH@8 zYg{JiObkYasQxMD1+nwyyJLM5_5KT$KeUnF>p+YklG?3>pA`$|X8@EGhRTN~MBU8SEJNE}c3&zj*5`fs6NVAkX%e-Nj1xGZL zesIF-Ywb&b8z*|ER)x~m`j1>IJ}$Ang6cdl`VKgvVA`o6>KszUE?D}HUzlSonFeDJ zJvoCoTV=lYAx-9qKq^tzz|Qvh1aO}%E4 zp$h=q?ybF`7_(ca^-zWb!;?nC%mu(o)y`uT$DTmC$$b?E=|N9iAQEp1@W!-y0gyrF z;e9ub0$u=UGdFZ9g=@f!J3Zk6?yEod?(G+0rAodt4;>!|M;=N%2YBSScUg;f&yOeh zCl^3+cj?q8PJ(am0^V+sxvNRE^{Tb}H}sk#XH1VfBFZ_ zEmb42)45Ui=IdCelF0lM19coKXL&_LcT_A@5-66@St5quw_QZ_cpRy3 zC^A@667bJVEaUsloApzgj+l#LbDeiH#5B9X(0h}j*2 z++18@YA4O951rLSYS0ckXZyvE%@7vyKP}GacFQP=Y<;EszQ|i60+70B{kQP!Y}tmI z#B^W0y2dAUC`uegKOAN70Yd{KGl`PlGawO-H@4UGXk*i~A0Cp^-kBg1k#0i+_3zY; zSOib`m9840tVCG>Iov4n1+rp8bH?DWlL2E)xbUtkPmVJ)M#^uOP#0J&WnLeH7RuwN z3>j;bp^YPPL=Bb0Mw(f1f6n_@P#)Xn+!Z2!Yf|K!Rz*DdH|+GWP@)q!4*3-Sox-9} z5fi2R&6S=IxkC(mCm3LIm}Sh}k@J!lMHc4mRxLVVg)EUf zH=dpRc)?BKT#g1P2&rBH#?ZbGpMJ$vK9 zf21nZ*pcPLI;xco^h&`Boau8*468+=kpC9SjxN?n-@fF$)CV2O3MKD6OPIrb1^Tuy z9gU>2j;l!HF;p5GoV6$f@DLS>6hF-Zt8h4Me<8041b_>duux3hDNPnkWX6 zwO_z4Oe+e2xZ3R`bwW{BiM;f8Wx9|V4XvNWdcmV47Y>Ep3PuA-ngG$zR8xDho`19| zxGCQRCf1GVNTeGVFt%zlS>g1r2T>(SQSFBnIyYFk6rD0^XCHR#sWpED@q*!0LMSQ= zNdkdYFQsoP(rubSFaMIcv;Wv#kVo7J0l$IORwm>+%{~H&L&YG~c*b4}9~u`*uH3)p z(9l`EcVk#p0NGMpRQV%%T7o$@j!E+9fOolnB$oID9japexm->))avuH-uJNifkd1m z4wbQCYC>^4k#*QZR44244`IqTpGftd7oi{Ydp4a^oUFK~1cYED`yO?Slq7f9Skmx> zDNx^{``l}x6pIqBqj9R65<(;LPQ8SY$O9+jNvBB(Mbt#-ws9~diO%s@H1A1SsWC!` zUf5_%hFFn`g3yhF#TN>@gjg-;XO7rtq~Q{iFsA&l=v(&=a5Gn!s2R?3H*HE?5Fy+Z zsEsDEsw+xn$M~>HwVG89I!*KsnG?}tXkcZf$N0453i>BlPyjN^qBDKh2AVgu)>=Y> z&6%QG$k$k#@Dj4pu%uU5_V{Usq%rB}!X-2IY(P3%emZL2QTktWqN!dE&t&b`Amb1k z#{95gcTkOZ!^tcXRnK;(7B@uw$D{Rg^N+Bt>5V9m<%Ykt!Z|GQgfz#_K3a zEo)_olb4+X4CZXme$+0QFoHAT(RoZ4ES8S0%rf&>4pIH5b_BB^D`aLLjUn?YY=Xoy zQ;&}oE=>(sFrP^;Xht4l)x$8+53kMJ#Y(`BOGgH+U{g&Yj5nqgL4zkm$r{FDIO;;b z@|R*2;EQNtn^>XkEG_(Wpvb2lUl>qwH13Vf5H?{?q_H~kkKp2q*oq?eJ3A&CGntj? zwYcU5JyVI(M8usb#v7)zk~d0eM(xrVwy-(dJIC!!mj3Si7*qnK>@=a1%!y5tN69@i zGlFY|;Js1W86XMs}P0AHq z9^j9Jl;qL7sC?8a2_fN5du{{v`uZKnG5{i9x?K!1G6pFMpY?^cPtp~#jvjd0n zFvi7>w>!xMwm9F}CkDG;C7E=+269GJykaEx>t)+sOBlYxgnhD!6+Ulsi=6MO|A{p6 z-$UMVCz4YFAejBf3(!96!+6fh{mQ*?r0njiJWAEarp9RzhO$6d-`E+pt(`y z^8Z4Gf9tAcJJU7=ct;M!jYTpBtDjgc(@P)^O&0Wr;;6S~X8^55OiOXpw6Wj=+2z%V z%B2oC=)DEe@cEerpeac~OpaBr+i70)&DN+&G&-8GLhp(+I&IQ%?(KKUHpUk$i zPhVD6i!Lwb;#q(dMPn=u9;!nE==0cuXcFT8%8P-Gr$?$_UZBEu ze?ec#dwn5(EGv&Wg#AeQ4F-#)*Q=PsynDz9&f7$m-v-ehh&-!v910}ONR;#oJ+x0A zENC+)Cr+0^MY*TspsACkegt!bT_{)yVrjr*poxa0s=+S%q6=98f_QSC283l4G#)U3E~{Q)p~I?Z%(^$QsFSvOQb3Eqtv^u|=5=HO{wOga6_;z5X=s%7jjf)j zCpjceS&Vw$XrHGSu~`}*r(S0KD@DKwM91;5BlE+%~GhZ zr|HSI!@ZhaU=-1gCx)IOXnW7T$=I>53eX9#&%kKK;>~z~*ib`#CY$ALlB2kh&u^jS z43q!KzgI&ayGj`v$Z-@jZ*y^pnck2=p3q1E^9r$sL)U_>r(NLS418Z%Z5=yLTUDN( zom$Hqts4ChSsI`d$VfgP^rmbuGo3z+Jm2tcvsk2fvhK#!*70_}OzdG`&jG&JJ9lPf z=|iAaI(esYF~9M8Y`dveM`w3F<=KH|{6kES0%+6vY?AWhb9yd52o=P{nLjTmYQVJx z3%01Ue1)WM5?Jo(o;^>TuQpyMbt1A!_Qgw`EE{f;4!DdVnhMHzYw7^(7Ry@4p3UJ* zoR2H<>mSM+9y?WTULss;S=)V>r^7a*palyY3#KcKT}6+Kw-`J-JoRRY+ZM))5r{wuh5oMAtTII7_?d3Vs`HF=zUg!w%C6+PH6FOYf#t-Z%;(QRcyD-bqS z@-#^|pMLx$_1siUSw)$ZaHc2t;G|A}V02#l_^R9yvE1P0Vd`w9)s6pV<~)8b0e8Ic z*=gKEx5d(-TmC${TV>(re5l)y@|gI!ZL_hJ{Wm+wG4oM{yjWFU@3*<_ra96yr>gGz z%W!4HXX$w46laL8d-GY1Ow7^Sz%$<^&KaQ>u3{MMXOo9#M&K2iSJg#Mp;^hkbGT2* zGg%ZZH9`EfRh4|nvrqoyL-Xbh#VWnjm-ETHrLPsf%$h#g1!nxU)ZTBLy8 zj&(LlZ#To)*g0~m^cG&1XkQ+vk1kSoR2EtC{Vvj9WCHK;(X?CfQ)!*LO-F+(%dMX|WR9ZvWx0_kDz4xNcLc6b4yzo;yp6#&7 z2-vUN5N&)Q`K0z-&Y%6pT=J$kypdw+SA(`&cb({$UikSQjRzGNZF)d)yhEg$?i{=q zBGd(bZ`y*&rRNe0{fyJ2X*Y;QR`tGM9J;SlBa)asmfVK zMw|8e=08758zx#g zy|8-<;~0&Dy7yW>J`n)bK9RJy#97+6hsm8--#haManLWf>p7LIlIzqFUTxU|;87G9 zm!g!9%J0IG%#j{bZD-&(CktVozpdvns$WEO3E=QJ!ov2t5MQ!-z2MTU!f74;9Jsax z+j+O&)_{rj%tALZj)<%)hsY(y_+ws7*3GLW4%|a5v~ge)`oK0uG2{3IU%N4{g$UzD z&u+o3$rv~4L;azac;lY&=8(_^yGRlCU~gU+O5)7R;@IJ8JxCY(vg7;m*9lu_o3f2s z5cGfj6ZiV2;GU9yl%hVh4-_zqCsGM7oVo`1)h*0{zF@2lI3xVO`w1PD<8R1a8mE z1!DQcwL};D>OF~iIF{A{@voesPxV>##0|2jpa7KiFxL_1(J#ue#)2@5Vdw*5$40D+E1^Ai?4j8aFXlC9;f%=HOVBEmf>}nFA@drD3-;VDNNx4Xgkh|L->I!$ zET@NDdvK-S8nAlnVU_uyJe zv9^dnG9JHi__LF`9ZWy+0{5+(WbFt1Ev{m5P21yNUBE6L$H>q|eN@`b*hA>vK{t5D zpcviq7(HmTH^&+G#YodU&;-{42|5a3`pEf4d^*HpLFbU&AiK$RXcdccOVg0`k=9yc zl=bJ_hn3}^cFNgC^Jq;@7b|4&gOp}p7{}=_*=fvh)#ULiN{u5RSBqQ5e|oPW3*5?^ zBohrG_ZC)O?moR-A?y0=6QZGskUJ1jjJEObNhL;oM<&o>k0ywqATfb|KQjwnyfvA)d@FYDB}Pp54Zqwxq53_V_?eg7>cNVA9~qua=v zu>4r2u)`SBeEo{^>`?v?eQh99#Au|-3b5&k#tRV3(EJRBfANU)*O6$kHbhc?RRKxM z-0w?c{opEQUoN|rY|~PRkz{?+?c$|q<8>;g1R*`X#S?n>=NOaSA{M=jBmVjw+^HPg z35WddM#mYUmZEB4pWr(4&p*}K+U=ZH=n`bG1{P@;lHyH_;){fpo0jDTDGkQwC>W&$ zF!I-ELqIF~b7VX=Wh_>g#e|c{`>sdWv|pywKje9wz?=ID+gM3NYs3TMK>tGMGV7FK#&=UPiBG?lQ8HYG- z_&sC-q?s}n>UV-aHZY2)rC(mu{F{g6yKGL&=CulaFb`N zP3a(-j0TMGNVs9D3O4Sz}BBH}@PUF1%^L^7 z;AdAL_N@l?Etk;yThy6vY;-Fjp~0*B2=qTkGj}j1KI3&3o~;o6nd`sa_U5hzBwzV? zqkZqmTX}|4$)m(wJ||gtnLzpIL+FrZ#6!wqsQ7}zFasG@*T*?rkeiqrccL|3WH+UC z{%ky|)79y1BGGKHP#-$Cw9$D0Fv_sAH_#L*z;HLdpG=xvXCpeyJxP8t2tN$ z>8RM3%;AF>%YFgNzA?-GGyn*LeT&Jm&jS6BDKo`;dwX5R1xz?dD|ZC#QLe z2X8_QO*o;=G6xlY@R zKleWB>IVG@V3bpG(==@+v22t#ttUjT_7){g0N*1WEs1jh9Z9Ov0D3fb<5F?axyd_& zfV{ggjCJ8n`lI_uo7}<@Eufdvk7DZk;9y1LAtxy~7UxKXc>tt0g#TTqoJn=kb*9J9 zSNNjJJ~XiGADIBB!Tkk8mR%jv)jZhKi$r(zfBCunhMiz6?(O7&JanRFs*(3|6NR0? z^^-!iwv`U!&HVXvnAq9^LK!%x4ceDO`U49@wug-M1%Q344*;&408xZmf0FB&yrY9$ zr^swsz2l_6_==vPM{dQvN26p0JRtnR#yOsrhyU|Xnc0KL;cu}`a2)TM^t8#6U<)Nn z6DIoU>`O&SG8GnT#f)aiw#iaRBWlUA@5Zt(X#%_h?W^Ut`v6NDg#!R%#$wyyPap?t zE=<#;1c~@GkZOeT&Sk+_Y6ugEQGKte6O(vNT_&$`oTb6+eliI#q4YXmXlm^6Gn%cD z%6K)wbHo22B%w;?P|ZF_1mDe=_uT@E-KMvN^q0WekvhBvV$v z2V%WaSbGvDpy+9`27Iak(}bEj=GVf8X6-n%1V}$^hJIh|%vN>$i@fgZb`7x#SiD*4 z``ppu-m*NE2a{I%8to<1=&Nl5MgBVX4i9xLr=vjn(Nu*NUvO;!nxS-C);lk*`}`Wt zv~LF0{*3o!tvKT#HYlN)^hLt*VweWA{fZj=u7ho#0KZBmY6B$}J_g408B06nKgLoh zlcvPqIJsaA+16zn3KZh^VI1hVt$Yv%Cka=%0I*8AMdHN22R+3<#fed~#R3x<6w zBIJ4=DjKsD*S(+7A(;MCT|R6t;mu;-MlS43ChQD4xP) zmf~Lc;@%CgNT0bss3@eM`Lv#Tyww-xzEml@^u+=cB+U^@!{B1_yP%VSp{Wr5M=)XG zmp?Sn23pW3kS89Oe8p3U2U{3nHOTY{*QrTtP*E5$P#95AJj4d&!$VWTLV0~-oe@36 z86t#!baar%jb^bVd^btB{LE#btRAJP9-}DYcP}{}+X9Sjfr>S{_1oYDxv62lNRs^B$9RDD{pGMf0E!y}_o)!< zDW-PFMdU5{DW5i)14a^cY|N4&DBpJo!Q}1r_49|j{kqWCO!OdWr9(Z>b{sM4O3#n) z1E%mhZ@r()n-r{Wb?|Os@GmezWb)l?kf!Re6E>PzWoXvLemB~-5@HkKp-{;FCExwi z1&fJZ@Od1u3&<|#m|ohbKX5-rY>+S_G$u6k3Y2)|(;K@U^bxt04;Q&-(Izp?8ceKP z%h7>Gg&4g&6;@R`h^9ousYpasDX(2QZwox}U)L3gzu@%6+?&{-i})b>jQ>@uHEusH zhv$dI^6{_R`Q{jqbRP0;19uVMUjhNH`Jt{hzQ4MIJ(a(oeOr(a6pFX2bDAKo0s<_) zoN-VRuZoVvPAfjoo7W#CyU=2TNMN8ro-SSl#G(Y$V{VC|u8pA@j3fl4Bm}f11e7Gz zs3`v&qIHIPPk*^>(u}w6itdwl|(X z|9LgXgDN=C?{PCEou|ftCcUUs)>x)N?W`UVq*d3UQ0AzuK9c?O?+z{22Y3tO1H$>* zT*n{t=Vrn|ssx)P@bM0nPI+FQVNMIZNWFr+js;ao=lvD!OTxNw(ivYDmtouWMa~so zHjzr6%@h39*;gtEUWJ~PD03%2U}i;!?ee{nC$oP~Abwx!93eHrRd`&vQcI0~+&BR15ke3GV^!+pgZZeO_PVUce z1Iz!h9`5~T?Q4>%#|PiykwhhXDzSKPETteNru^&wb*S)HKy@mJ{)kE&S{?obc*e*K zI>6-2LwU%;R+&#^Gn~g?f6&FXJZcBY_k<4|AeQ~ty&~7e$9UX+Z|Wl|C~cTiDRk$I zlor(c%YKv+K~V`hUH^*bSzr)K`SR`^6S-}uqzBPH;-Gb^$|7d|1hE;H2NCwP(t*m^ z)jB;p%Y&?Rn7Oj&$mM82UANULs(CY(^@>{%gPy|9XPcFick?*yGW+aQm|>~77^mpu za(6+tOs!N7cVDKt>w+H-M(W(}8agc#w@|q8j$WT3*MCZjK*$*w{wNym2p`;u~-~&P^RS4w5FV1fo4|HAHyN8>IgK~o>nVJF$YkEyZ)tK zGfylou9%glXRU9{nVtBJbDMphQrQNM82()$`{+JPtqjH6sXZXXc}09i~!{j%Po3pBvzNm#Wp?0cJ8OqzdbNaZ4{u9?Y7+f zVGqjMjGpcnbc}79K_@GsR?Cb4?Q6Q})k*2@;N|g#1m{dmipUpo4wxk}2U?)#rV&FY zY1u4lgCyqyAx`ZY^zzu*rZQV=F-g5K)?sgPZ@$&lZANt^6@1I=tg5uhZLgSS*Cx0d z;pE9(`C*RyimT_Z*w33@^qTMny^BnxY^q+DC#E7I@t^lue2u&LhYD$}MnlJG%$-qqdBX)@$Qt z+s+4isb5+TQsEhLQC3wiWUCkR(cEeKlKPd;Yo4Fy3#|#m%haDpw z)l)vQ-KoEBi?YWvR=U0e`w46#3CEZm3{JH=C9)JbpXoh3IF+=uzVcey@yAlHl&kbG zSNU(AS5^i5Ye_l#Ib`iJ`Z-_gis7BcsvJBtqT@U z+{r5+Zt5r>elRN^Ryix{5mMg?WaZtt_fX&2_)& zpbb)Wf^3agw~ph)J#a}j(3n3WpeZWgS*v%CjDp}!r}AR2(`{qOk$TCMV43-*FD(K; z(u_#PjzC9;ywuzq?0DY07_Ey8r|7}`7 zpty0Xol7_`7^&zkG@c}l8X4%(Kz)u0+mfr7|M_itmwHdQ#H5^EPs#|C-~AGrO}IZt z`|!hAP9kYhcD_W*A?qNYEFg0)xu5l1aPs3>hNq~;%{uTodE&h|%`q{%sIf9n;Q2E! zI7{}TINy`#d(?!CN$B<1CsADdVXLCf!Oku%Z#yktUQvJWFf5p=OAG3s>ros3DxrLOwCX{68hSq{G*iZW;|F_7Ep^(y!p*6v zqORc=)G_zeJN2HtX<9p%wzyk8S8CCv=IB^(e|`U3=5#Z9cXDENcVpdrBVg`8e-vq~ z&Cp_%_sr{<3})Qh0XvMD{85?9y^v^YYF&wD=M; zIwB}_c_6X;*`97(Rv`?zH+N6g(KOdKZTIrj|4eoxFMqZ0z5k})Zw!G6FbfmhNV$p8 z9XDS1KGxLH4Ddd&8hLEGs>nMMG$dfY!%i@-T=`Qq5?UHLf zh89u7l-9SSpBTPgV*m~0SHCm|t}!hgLZT*RgD?hkqYwsk8iERziIoutrV7!56PJm{ z?=@mx_c80gqq{`j491Z9(6lMia-N@TA=kd2g%muw8cX#zw8ejUX?eUBH;wX zgIu6tRFj|!0oeKaH#x5k+!7~nt9nt2sn)L!{0gFJ0+DB9MP^n>7M5l40pe$L%qH2)@MB*uu==?6-S-*P>;0qwY+rl480Xr;-*>3;I|TnUioNzeSNzm ze|@=vdM3*MLQ}*W$tiA5_gP|5W}R2ko>U-(8J$^OUETD{5}fEnh%z8)PjsT{EDb?i zR6R#tq^5@@j-vu24z#v zn*lb_RF5d7NkojTo@t5o2I*o9*NujVo{Aic^~z_ zQ|%gcpZl69Sj)j;$J}bOav_>C81uW9P#Gu^@)iz{GiLLEfKL~>qEgkaG}q}T4*gHz zSs0Cf1}%h%@#>i>7v z>2)-&&X6-z?7}I)tKiaOR?HV3)MW%H(dj#iYX0tF0p;`d_hkmd7*+1Szm7?#DMZN$Vb>LNz9!Z2C^L0gfn7vcOc0 z8tlPj;Aj~;{m9BxQOD8Y*s4W6Ho@1Cw2(dI03!*ywy?|zq-#;J6R)<9cdJ_F#Eeg# zY9`jBpiN44U(heVX{F}+kQsc>{qYiXHInkb(MD_#c1qDnO%Cl|ch(&~Wm+anqN$ZI z!xTA{KFcEo)?os{!?6f)K0be)>U$DZk(ni8GOMb>UzEM;0K12VJ3Jt(4LT)Olh7D@ zdnt%v`yfE7)<1*KN4XrqONf%Q6O}08a}&j224{!|(Kf{*Kw)PVp&6vVnQOd`Tm1&V zpKfY73U8{WD z=8T{^Ri+C)2iXa^lr)Nca^0J9TAi+D38B!y###;V)%gkq})n{Av! Ab^rhX literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.rtlv.hdb b/SLEA/db/Chronometre.rtlv.hdb new file mode 100644 index 0000000000000000000000000000000000000000..8d1e8b79e26ce76e0f45b404de601e670a53f1d5 GIT binary patch literal 16450 zcmY*=19T-p*KKUuwrz7_o0H^*H?}h~v7L#{2`08Vxv_2A#>@BpZ~g1N)xGNM>h9XT z>(r@UT~(`4!N9<(5W&G5z7EkZtPOB+wQ+PH<7Z(blW?~IT99#Ya+9&~u(I>Aa;zc|3a=7j!hz-D3o$4ZF(kM#mS z^GEz`B&T(e4vT?J)dPnA{VFJ^6(x!PQUn%UrL;ZCp>%fr_yP%EH8CJ7Cswh|w=Idh z>suj(nkvK*bwJm_%@kdMc&k8}muu(G>mLJuHVCE3jKwH!!i9E&l3qWx+8>r1RnGNa zsn*jiEEgB&+imAf?5gzbw9X$za9NEzp3rW14_#Wjp7#es2H&e7K~;|8_oCum$|LOAV`1Nsub(~!TfVy!O+R~@ZtY>ZXn+MvCy=?&bx5QTsn?ZDMn%}#9RJKHbkL?{?Xf_xfxgV@n zOV7iQNRqi4?<=&IaiCQ(Z z;!L)Ak->_dMLwsQ5g@kBu$d-fL5fh0d0LJy#CcZVI-R26GMJ+{Z)*zYv74hPYGLk6 zg^K!3GyJ5)*AO!p6k!ld7biU;YKfjmghQU5noo^)C~hXjLl5*+y&2)f4CV*oe|II=aguc&sbp(}jPmA_{1Au@4T3VPX76!O^TY0gN9M z!+}J#Lh>Uw2&;4Fi~7L?Q-?QVM)n`Dzz*Z}aT~nm+ZAxlroh*)o~AV+xpTP4o)nnM zNoc|T-?Dm0LNjJT?bA#EoDrsYJ+gW+%O7J9>Cn~Gh*@11ckZo(-XJ%Rmj{1FPA?L( zleVjqot`6>I=?Y+N6S5mOJ=kz{lc-H;%~2q?)+XnvXRlNp8_xpK^K9F?TSkf9hz>6@Mj`*>PJhVd323PIcfl<$n@$MIH z2C%tx$&tRpgyiqKVkbDN;W5l3h@yWF_xql^|CE^yt%w?TUeh9oJNA);t(Tuu4!A`bqYyW7HZ7*awzL+OypOuQN zX#bOtr;NNxDytSWB02%PelpdASLzxd!xua_!5SS;?UEFC1uG-HRwnNLbKXi@4G2nE5zY_AGV|*Z?Jkvglq=s;< zzmwYsV4zQCfLs6nk^GnGD>-dO`AwL)GIWB|*ye6kaKT?f-Zt1t3B9woH%?W8OHscD zlK(x1%ucb|$>m}fZblv%&7;~|Wj;tpj}BUO=-GMvrryhK_o{7^NI;}-!ccwEMIT5? zjh*55szC{>PT5>6c!?Kr2Pj|dKl29RTMhh zbMKM4lITgZg$T_x+vnR)Y8noT5?U0qtxOBCV!n||2`BvvNZ_H%$sCVly?!#v`f-DLV0j*enQ9?hm2VJnVR+3Nq_mj zW^$q-cG18>jp<33E&erh*dL99-n(;v{1JJR{3L^qecFV$#(P)ec$=8zd|N9Stj0Wf z{FTZMs}oYq$43@p$?gwT>7G0BK33<0YIrDB@zK22_0>f$mPCW?^eNuW(s3g!r29dR z&VZ+3UTB16cB_@Qc;A-OR8)SMuVp7%WQGx6%O2(+`}+BQpHtRjE!ma>JR2>zK8C3_ z#Mrl>SV#mq5}H`E(cvGdZHsnrAy@hmIuR| zNwS)0RR+mb1_{6NX?)vQ;>yUr3aRO&w9Wxopq-_pz3=I8J9>M#U0=g5zl93ov+G83 zd-G|r2Ag#Zw;CQgnO@FoRjv2$8Y9u7$Cmp`YTs5e40+VjOgtA5wG4&=*F*7C21D^y z``-(UBI#+|v`E|{-CJ2=%l1$WhoboQ%#@7%D~#a=!^0+vE6(~dDuI#E&15iu@~v!3 zB>T7OCZhL(wqmYf(Ym~!I%wmpGV-;uNdFQ8E7YSO_cSlP2j4YoWsU1J&UW@05sM2v zwRDV?ou||>Tayv$5D9nk6h4wPGfZMvNF0l-QN78rW?5wdn58=+>i_=U$(sp`5RWOn zJz)+-qd5ntt*b}+*MF*q%oLN$1eVjW6xVH^xO{u?BEP!{I@-s=Y?O_DGt-KFOVrT= z=zVgF?eDiS(G6Bl1THK1>fNc9?`2nTb+-`VY7o6Ygqt<}GscnCsEqnPb!PR|6$D0VISUon;Vl)rM22I| zGZ!z-rvTjdF{9L%?QngT31MvQZAY}reaW$QJM~HrR3al7NW-!A>(kVwjqMoeD=A)S z2dk@@hUE3S5pjl6SlULas=1>4P8njL16uPnZY1BJi)#$faN{b6H>5A#C!DuWm?Gld`|`? zzF)!m3+`OuqhId)tMMo+RY#~{fFgj(JgR3rmzC~-g+0gzzr-lNh(%hbCs7zZ&Gj@z z61o(Ljf!-dPY~)rr@ zl)2*(`e~aN>G{m!rq%^gO=h*je%IMfp6{qng8N)I4?!zj4wRNU-ZqMEnD0PYKx5zW zjJ&li<;9`5tW#Nge5tu~>bYr*E%-cXFr&~9nDd<|Wnx_!`!q%LeyZuD4_@@n^4*x# z$?#ta)Rm(DI2Ev{bN_ai{aF%25bb5ioKyAcuizV=1|`2SAIT)O`HBkGx=XC`o$WKk zZy&d&VzkJNDy>sSM`+-Etc-VLr2kXs2SJn$!Z=H48{dK&d%)W(M{%hqabeER04cWl zRN$K|xp56TS;cWcR2*r%9Qy5^d9v=1wcE&GOWHpZ^>lw|=4z`0rE zr1Nh%_kCnOiLvy&YDc~@&IWhnqQF42pR0-K{mjVIm-dOymM?+~lr)c2G|5}g=i z6@MIO{TgyyI1=kROam4D2-AMt8SZVlk6JcqUH+w60WVR{r6$E&)n;0o*1)^$H(Fn1 zpvo)~4J)Bc0)@)u2Ge?RnvpysodrPoChn4<^&8+0;3IWkb>iC})@I9!Rx_V)TLaTG zECz;d<2`WjYn1*t?qXVWeajPjihUnc61QA-;&6;+MAN&Fq2vU?Xj(zb{kXASLu6MN zA*9Tj+fvgJg>=F?+MPP2yTKa`O~SB}X-42`B@&;I6^q0apM*_#)eE>4uLY#{Yf4J< z+j6qm)EQCFlA|*C&Wb3|5eWN)Lnt{5hd_k!g!;h%TsKS0RKe=lJ&Ay+@is)MlA0+U z@mP@(ie~&$^WV5BNum0^pFY6%5c85gp}@aIB6oACkh|~2kP&o(5FpUTC3>8Jy!o%_ z$#Sa#O%iawPT@l9z|;4?&8m^%Ys~%F|ArYP{{kJaLXj(0a#?6e1EYUU6lHpBt3rEo=Y3z_ex7W8f~cb)M9abq0#<-EnU$dICOGPdJm9P4fMX>e4hG@f{8z;)~(_nDQ3qk)ayF1 ztoq1rm27~&vCy5_e(6gTu&Epq?efWRxv*LV*gZP$o$=pMWqs3qSzG0!r&(1IvF! zp<;%wz%#MY#~tm=>v-3yoypVNE*uH$*ug6xaDr?FcBogxNC6FqY=`7a4-m1&__0}! zUJ*PfdeG5Pc*nt8)GKs*Oc^aMZ1t67$c%kF5P=jyc;dy8%y6QSZa8gcLl*pD9QXSQ z4Qg_S&LcUnue;GmS89nB3wg^k6Ozz4P0M6*Hg19Y-J-`oPnySeVbAi z=_tfFjp#UCZIKGy9FJ3qVbArtY6INWHguytFZe*^KmWeDoSONrV6(d0Nhup4c+By; z*A`5aD?HsA$s3i%o#5a$vk+76NC7zN(OIW$e;Ti5;&G|)&)LYbeZR)A$Lf;?U}m%^ zs=2eEt;!aJvumP!XI=~U!vYYjA*52HEoFP70bme~3kCyAro=OvYN?C#WrG4 z1+t|M1fvJ{_@`e5URgUB$=R@&58mqwHy(pxd1qkHv^STJASCP zaH3!9k0M-7!wLGYvvE<}9OK9H{;T9hooME7WjlO4qPV3VysfU`4Vfqy%NUbgIQA+~ zATk?ja>h$Y5{@LMKagghvh6|$#t+Jybu?08oS*>%Vpyn$$cbL7O1#j#rN0eLED{+s zdkD4+CCoz^woqk2>Ehh0&_G2=@2;b^giL&xpprM{RU3MSqF@)G+WYzon9Quj9L~tp zEP<6Yv&;3un-6I|2nAtWFb{`J-pZ-X1fcKyO5w6a<2nevDLLLX7<51W{kj9=W3R6y zPrVi&uc9E8-Uw2J`;V_^xG4tn`$4HJ11?frBwC9zL0EPI>C~9l$-z{lX-<*9~ zhY{o$mbL-D6>S}BviI?cord+WNbJPM7xdON8Hn7+cXfayr5;bOWs&1C$O1no2PVc{ z+^T=zwxwylOzzlWEopYdh60_y^3yw)egZD{<1O_DAt_n{*3CGM!#eCn2UdfGorgMT zXYW?hg5N^MO*`ZKX}*HJ_f7Wu)^35@uX>=05k3lhc|*xv^aHaT8KAlvrt6RlJ+;^3Rrzj_eb1?+&2e!Z`pW`lV#| zix>#)@x|xW3T%!4s`#pL)13PkX_}!xhv65T{GV1jLSq{O4XYhQ+K2p@1tEhNl)vf{ z4gwkC|4!@#s%Vy`okOC3X;#WIuEuI$&`%R$AU3Yn)h74DUcPbZzloa#Jygg3r*&GV z_5mGIpqy``ZcJ`Y<+|dngoxLWx*?~$J6!~$d>XTG`_D~Sc$eEw1*Az z%T$lN@_s4e?*m9#DzHcExYwXW1_2}=>7I45SIa%xA8|wtvFL)@jkwE;A@Q2vq@`2+ z)aasn%obxJn;%Q+u7eLSq?ozXnXy2c-wiKcjn``;P}8C53^Jxo_)N?aL%K9GP#c@& zHGUP0=!oRdyY7Xwv+9lSBn`qGks%Rh;^fYkt&Zq`C&D|z64w_st{9Lh7Fyu5Eb&^E zL3$=y`4-m{lOKRI-7iFBC)tRvkH8T}IctlRf3Sp)#X~L%MgjW%tCh4icpEqr6h~37 z=pe{^nkBoqK5{rU3RCwtwegj`{kEmr<3Bz4Dazz#1#w-6y-aB&OqB-JE~Nzw+zpZw z1f>g$Lny`v3GSB|LZCJopfHIU?@KZ#IYO&O(e*S%Y`N(ZJt(s&?|yU-D*|^ z6S)9xZmUku;K?*1qh?p*f#HJKf-^M6F<+)9NnR6U>I|1Ih$E2c=#&^+G_a?o_GzwX zKE#An(=u&8d?b=gZ&TX%C%ip2yZ~N?8aDV!$3EdLq_gz)`&^O!&(ZalsY zUoy`igN~5|=Vyr!jzubV-%9E9q8kw>NNP#Y=V2Gh)N+iGBz1o>Z}7?s3^3R)kp+1S z@irFWg#)0dNF~XfawO3VT{i9M?<)NjijukF$!k5_qI7;rtDLE??YTTBB3>jM3!M(& z#sQr~1Ip2kT@t zNAZ5s3p4$3l<|xA%TWvB;7zsjEKGO>vrPS0Uj1SacPhWd z>jHIg=0KfZH*Zw8G=l^}b}1=je6Qo^HB%Cn zqsuG zKYtEzod4kEgN*z+H+{(j7ZyLPs-2-P6Qe+m*%2T9sTe7T8B#xD;ewQ|cqRx#*h?Ul zqTDZN+p?fc!4g{W2rZn9#vvLd6z2z}2Vd)Fr%UwfFXD*Bblg~xF42#@`m%WJNugvM z?Pz=)wWJ4#@uEht-1;&)W>sx{K3KDct=P2x##9S1$ip{(0~+l}yf~cOyrnuvn(@{& z&vscoBCsL2o-!tJj?Lr!J+2Nxy?82h2=pYys6La>-VU#=*bI1HPRK&!Xq%VkCI#|i5 zcF3NAIYQIZfM=&NEKb1A#K?|g=9$OG%QS15N`j=w!x18`Kjlt*^jK&X?EwV<#Gk7L z8)ztuwT724X`m`hkAq7(z$DD8o>UsavFuzi`LL!!RTuuEOOj>1Y8uF~y=XH zo;{}_o%F{F&+?2PuAdOGRx#rv0@O)IES}=b`>W^~;oy{v9_WwN8|DTIA8uvz#M`IlB z3*CFiCxjnEr5RLGc!KqBd=~ehjE6W2hBKLl^Z}Rfm^TF40n!6SzL-9Ky1p?En@;gV znzU<5G6xBfNca&Bt5P4OR5JY!Jc6Z>y7?BU9vBPb89-~{HClN0jxCfDJ`-$eSkFuU z2$KeXr`zA)j!5L@bC|mU+hVNtAmcwr04$A~{W?gTt|+2YJPwd16Ho}ePbk_MDIM?! zU95PC9V?c6=P*I9B>zc*mFMK?kP6F(*M$VBz}{N>ojwJ!J$#HqOD536${n(;8s=+2 zNT<|LM#IxBKxYSEOs|=q9Q;a&p}PQ_t2Rga9kX@2yHrPHW9F#+NRj)?K{PstX%=o< zWlz1o<+)F0wBY%P0S=_C;-m&?$^{IC`7^>~Zk}rmgzBYAg+D@si~NN+#R?8XCDxLo zo}(bu0>n`5xq^tTh(>P?#9u0>Mn9*Xq7Dv!kdT!kSuPSnkZMS)ry@C*W`v(M&Ir#F ztyPNv$k*cLapsW>vm~eQJE=J{iFuEId$-~rkij8~Pl;)PV=MTrcVL@u!XiUnYD`5! zL`sam&LJ%5iAm>wTlM~m^J4HGg@{|)b%vnyqxcmMxcnB=JqBsPII;`_TF zbkU8S3upAdYoM)CXu_QsZUSX;Z*5j8#ro!)jk$=w^InuH;3pO9ly(^q9u>JdaEAuO zSVX}0VQc-FnoMZGBUS>9apwzqnppEDxDyq-BROQ_AQ|jl`RBa-hIk(GyIVZoe8{4N z#M*2z(}HwZSh{z#x=VDN7<^a?ZvUuRbu7zi@M#O$$jb!ot!%TI_3v+= z`R!97kC6xEBeaV^emeQEBBmp083+{~@~?6}wLO4tiNsQqlpL91sgSH|PlrFO2vwVY2ic5dU&W|heLPSRcU ztT)h^`i&$FNL6fmyVr9aeR8vdt&Gv;6qI<>Z1FWP&WJd+`$UM*d>1(wsNudk&3+o^hevN4#9{X^x0<7f}E zxNiEmhOsj^6c>=cROXwM7f^oXnXj5P>BunEHqt`+sG4tiDyfJP-Tdu7-M!CHcsu?* zEyoU!%e`+t2){`>bm+XO_j$A$F0Xl2v%0%V^`<|)I5*L&Ho70(Y~Y`GcI9*}mzar# zD)-b#u$Xbve30;P6V_(v*gjAD&q40tICY{1re&eF=v{gyfE+%TYoo|nICK#k_0)K! z@1<)<#Rw>HuSnpSxJKoNAsnGH^V-dOu;xQ^yG>WP3-A}tU7UGKy7zV?9~aCoJiVb>u+X`-*AvSy`^4WuI=_E`yQCa6_>d1{3Y->cOcAU#(D7j%=0%4Vp2lq z8@Z->-|mMlU^h;!#S5QGlWU?$-MjuO2aBL++u5xDTSHYT1kY32^>nDNqaan)VHy?h zYE3!oVC%!L^~=R0@Qd+hqbkq5KHQdz?8d){C_Ml4Ix6Quy_ zwkJQ}q`5+Ckt>kq+B*0}19qlNl+(EZ71P}!TVSfBx!{d=Nu9=9gTy-kE?vZ2G{7if z61FxsK~7{S)ml5koa^^wkl85FX?Oky5s*GD;^RAqzcMr+fOUH0-7{p$qja4ljwir( z?dqM*x?xr)zac+?v|Sk2;Gwi?Nk;Iu)azAbZ7u!rka9FMO(E{PvQhb~s42*ia|UNf zIS_S4LzS2P?wpR$$XfQF@=)BFq)3ASiNWq-OSUznS-GHl(FROZ0fVa_kk^OJ!KQR` z^h^q~Tq?8mY=C<&&6Ku@Q`<&z<#)P=MfPpzhm~cv$N>@i`DVBPDB5>6?OK80nM3!;a?QHwS^jqcRXwN`#%BKhU~hfwA16}!s&s&Uq=H0k)lU* zq0W>1o~~k)*#ZeQ5%w#6+$Lq9q@aEpcIOUW@^0*w8ZuWUXFzoo5$ht`go@4wwCNG= zOVGox5(6+-BH|QNoL$ zTA*~%$8Fi?x1taIxlOckQn74jCEs_%(T3^G?+Wd2@J$Va@Id|#lc7}e0O2O0LsU~x zZ70fV97Q1PsEUjwk&_ANE->EY=ZoX_c3x}q*HzWhoHZ7+C?zu=NPWCZU&@xoSkIM| z>j{%|Go)6IL$E`v`@^=2Q6g0KyIss$K(uRsYiy--x(65g_){Jc&CQ{a;_L(bR1S9G zJF~SRYa!oD3#*JTuXPgp%6nd;8Q05x7v8y6UMEfi@AuP4_Zd9%26)WoNwIYzD?fz$ zRSNf^$tcSE$3hW;@^0As&IEz;K*u6wVZ1+~pMTW4q1{)aUL97B`j=){0)H^uUmFAY z1FD&cZVmqK^94c}#Gd0dkxG8xCm-8Y3mfXB^M#E&vo{0HEjwIFKFY7o=Ug1iGArid=IQD0;{oA5wTc9wtV1RZ-q>dti{Oq?pj9Bv#UEWO!q?4M zMgGeAY=ec?CJo#na_7$=1n+p6>{dXwi!M+4yKiAi6)%6Y#KSB^QoX8nUdT zFA1}=gh7+`txdEScrJ^Q4>^s%Owt0}LJ$JI z8-6X~_T*|*c?QE8F!&Sv$)I4tbG9wEyvPXqFk#+3L zUe&4`B04(%!v`Uw_3~t$``>ywr!Y32c-ke{0IPNV*q)BX$UDbg_rLh&(fDTYpQ3U5 zmeVJ72#O9Es04;+v$4!^{?H@BK~GxSy)8X^K_h#)0GdN-nokza?aMW`ou+dF<>*z~ zk?Bt?{2LeiI@!=O=mE!%+|=|djEXJtkGe3E3BezxZXv$-$yIlmU{?cn7H)pBmrh-d zZo^AcPM3SCH+P?|dNtk2jy+LZu3gi-vk%7#TB2aKK@guu|utGwN zhqM+WwBXmiyCy+i7+A~P?D3b`BXOv}P3|?p98!5h8PO0Fp)6E^=$JSM(#!Q)b88D< z5?HlVVu}>kqd~}%h<_Ui9~;*n-;%W67L!|4AcryIYBwSx@&#dUFd=s(J0mc!H-ipr z92_@`kUkW3Dn(b3Pbb|6Uv7=^pa0C34?kTR)qY6m9I<+Ye5ijJNM&&#YcD=gel8)lgus7Od!_*fms4z3 zpuPBw?mJ}^}iY5DZ?D<2|9gSfDH>Njd%VO=_b6g2is+R1K;ASUsK7~f;(IM z7rzLK&rKy4rIg$tlYA0P>I>h12;Z!6OiAxh3hh8dBgwgb=&yES3uMoi%+i zcQpPH6puO*FPcPNYB7=vitmmm52Tm;kPr1!4Sj1wdX7ipM-C742++2?;m5xrBb$_D z+GgVjm@9frV#Pn|q!bNhL~lMPP>5{J%qurp&XgW#jtn;lLMz#I1=|*Gx8H>neAGk` zgfYn|x~UrjO3xhyjqQ<<8NP?g(7+DKCaO?gLdG&0)W$zrzsu)s{oNB@CI`DZY^8gI zw8p7?`bCjM3L#;Xzmn>AeINE?djwn!UfSvLUW{_hL!Un+3v{N^wsI3-rM~J)7v4dpLWF;G1}h z28fj+e9h(8GJ`NBj>m(xCefjgz)Esc(%-U>o@+Svm^t?F$P6PeSUEm~z@9+Vm)rs9 z<9@0fx87m@1 zBdHA2$y4E zIv5olOTnOG(tQ;CI>tuK5n|*rl^0T+GWv?j)r(ASWfCG(bkk_p1Pkz3P zA4>9!xi*tc9CE~+_m&N^-b{|WebGX{wiDZ$v`X8=N`Iq7^RtNNWqCx3xr;$P!^2BI zBi=~b5m^lbK#L&2dES^8VkY?t>0pRZ*82!mo6J6}hQfN_B6{Y{DSN?zG;@&f;znO# zhGk_j91X_7woxb2TG7{jz#RM5hOCIZ2IeBALj)tLoKDT`-24!(kFWzLgmQKTuX#=v zj)FyHB33kJv@q_->$kh@sBQN5a$|JpR7O%%-g!BNDw}Y_(ljQ^K3dPeAh}rLj{Za9 zc~7l!&I@tw*`Fyj@D-Djk#HhMj7txTfRR@z{y-*9?{1{$ASC`2B=$pEQi$LtRvMC* zEFAPe%y4>ajPy4RuxrEVk8q^t-$?w7k|H@s?Apbk;*{urN_KL?$jvB=`YAB-m6 z6gdbR%G|&|TT?roES&QPVMDTyeJZ_#zO`WTc819|U;g0igMHm0c0ke|7KD8bBi#4; zQ!YwOC--gzmShUue}E(QR{xq#v9oHy?k!ek-xyC6GKsL1oTvhw!+g@Ws1r;sN;@@p z_;eWYvrAG6n5y;6N|AJ#oPJd$`h8?FjlB6^{8xN>h!vGFf$gHU7BH1DNiq~gI2fxV9XmoC(i z@i+PJ^K9^_Nb-SZR(M@16Vg>?iVF;MR%~=uO!QZ&fybzDo$zqqpm-0IS80|gF}U`2 z>V$ut97zED8_a^N#Zg6LT1jJ8$=K0&-mmjtJTGj#+0Bo&8n7J`yA2l5vljl19mie^ z((_k0V-fR;78P~Y$OymrXrJ7aDh#)Z)M6xN%&grpcxc!U88u{=TI--zg?Cj~tb zKZ^or0wKb=3Q$LYA574h8a+?&)RCm#*$hg$pVF zsjP~iSf3>O{W>lOr+7x%v7y*`{sIIy_FpNCFEpHD!CrmwE!dTxp9PpB1#wTV{$KcnY(^aIb=Juf%Y#y6_e`DIsYoAuTB( zB`F{VIxi%-*Q0?njS4RMBpQ12NTiU4MdBC6K%c}x-$V&E<=m*+?_3>9g)pA`JLy94 z=-@pyc3F%CVlC4&R%JT4eTVdi6l_9?K194fJX8pI5d0L=i+J2gqLK=_y~)2f0iU?1 zyM~y8ogQ&7AqjW^$7Vd2vd6rs#$6L(7n<_*-F&;*>D&2O__4o`x=g)M>`oI98uGXk zH7`+UNSAz4tZt)Ft6w=E6(UosU8ZffFkfp0WB7z1^VyRv=@04KbboLuKT;|P4zaoi>%>()4j5r;S$daYV@50G0f?<;KNqP){g`c?yF!` zE(E<$AEmnd379x%iLflcTIRtjtY@FKswovNDJg^g zpeLS{Z7Tc{T-5$^V`_w?HZ|^dT?|c(X{;IE&6RG){Ot*JFc9zaf z?oRldyBODoeTNObIlm~Pbhr53p_YiZmHXUTV7=Ev za#&nE$sJ`7C60Nv-Zc((o-g#?^KHWKsRGfnYP!k5}8S7A-4+{hT4q+KmjG#?jfB*5h=sWhco+wbdmA3^;MgHL6XR zm9a6y)|raM3JuPCb^i(uZjH>U$#E^K3gMI?!y^GQtpX&LJk0Gi#HD^b=ZChi_uTi^ zv?;rSz&DNg^wBfLLWFYy;n{ncM%`-M!vkB5L4u#YEN=m631SLCyo+p2@j0xa@l-Z7x*f;a>8W|xtUk!GjN~m-<$zk*>rlgd`9QnW;@sZ zRP1l?7$>`uq%7+}jjaI-7Z@fMD)uGHg*2>F4C-TAhcy3aA*#^wS<>u!cWy4bh>dGZ zdFx{rxXS91u@GGJE}o2j^al&DrJr)<4UfaER4iTmc(ADNU@*6RVAePhfg zU=$OADysQH+&Yy?D&vg@mIhND8yVRQ+NGzBGj4@*3xujENVle83BRze4PnfySwu_ zNorH>NPYbXzV71FN%Q^4gQ?Uv9s*vyw&#cR!VbHM51c_$Jd^#JMWVS@ zB;a&+yQVZ+O(`}^`uy$ZmNqf)YJY23XMaPQ#D%i?l(bSN*=+8%UA|g8;&gp;yw{BD z+jPEP@7V0ZIv$w)LNz$9A7j_~OtpMo7|Wl&Cu>sqwBq++_{W(x#EKW(b<1KMw9B~eOc zM)Yf9)C+h0(AR|Ue7fq5>XT~P=;h_@Yf4@2iRwj`<;Lq^7Ij-WW8X@tw2`AtaWIr}hWlhhDd3lkAjG|O$=uG@?mXTgi5 zc+kvLizQ@r<)L=2^=!1iz@TmlL^4U4AzrthXh1RzQwx)}45r|-BcB7z-b=M`IGw-w zI9-uk@|UcBzNj$~0)}!$zO+-^!_TS6oG8r&3aW2Lyj)71P?mLbs&9t8T*{mXss^eQ ziA28y#?4)dy+2aiUy8r;QrruwiK3Us<$t^;yr7++N}c>F>*iJ8jD2~fxEGoGBvaoE zeIb=Ofh_w}E82*A`3#@)Rr}oi=FfO?b??)9Azv%QxAy^Wg`(2Cklf9!ejw~8d3a8$ zaqwJ9f9p_9fBW&9{#Lu4-Z47aJ9lF0EAo@Qd3%yuxd9s+J)Ks@DcZ-E&Ue!O-j$Co z78~(BCF%B@f0YD)v7Ku^hB%9eC0st~ncZ)lL7@3v z+hfvZ*hRS#9dZ+90ZShXJDR@Q^ugYjA#p$EEoThOIB%B42j>l;3$r#1JE6GK-%nd( z`?39ZadBb8QHpDx_=+p`S!v!~!NYMj-a>19u|KYVTDd6Z%gD#+BP<1`24`Cr6G}zNX`0&(oA&Yj}m_ zJ{|UHuDy$9lFkZ;|8y0Zk5k4B_jl`JKE*|BDA%cAd^#MDYP){$)la9+8;aacH9ADV!8p8kuYQtU4JjDUmroK7ao1?tXW3y&{tRVkh{=(=kI$ znENA}D#Y!9#;Cb7`0`ZOesBMV@D*SmjlLS!fVitPob@i$yuPq}X?`@E1@ceBHa}Z* zxV=9G`cgfW5$AS;DePsu4NJ8*tR4uMHLnkO!kh=_tgz^;1fJK5a+vR^zdwF!j&k(V z%k=H+(mr!3XAdm5o21O&EM7U&KR%3Fw*w#@-|cM#ygzPt{qD}V1D=|7%bJp6x?jBd z@7^{x?zaWM9o~d^7Y4xyQ?1O3jD1nUkgvf$pSD|71#d0$<$Dn z0dMAuH+bBrHR}#%zfE3NYW>e&eU6^ve#?&e4N(y=dKetmnze80Og9rldWhbync|Up ztfmRQ&(Th2yyY$b3tQQIx0b;kWBBW189xS-<*!F%*vXUUxb`9E(5fz?v_Q525wjB2 z!JShXf-_QCZ_G17p%#XFo+sbi{Wo!ZXbC|960q>^Ym*{4bNryVB_uY?a>nm*Xlyto zVw7d$B#)8U91cRmTE6gHkZx~tXbK}Wtw`XCq?az2u0g&XhuRC@;nXa;la!g_?8m_g|;^kOO+|sa!n%myZwE43fAXrw-BiLnp-Rwp1%|+{4`i-}?dnzi zJiS77rEdloaEfZ~Zq3>2f_YxsQF3W4?n1x?b`wqEUlwJ0Bx?zG4GNpm3YU`xT1NOC z(>gdO>CKDAF<&C?8h{m|0P(W5`-ByrkN?-}N%Rlx1Le_;1! zR4SW(;~m#blJbDC^nM$_hv(64c0aP*HpgKpeeU$%qgG{5Ud9Cg2O14h>bUk zXGd^f%Fv&6)CafS%J6(=*veGDjC)a*z_xZVVBxmFr zStE+S#5e)qn4+=wCE{3!2b0vx! zx+X?eFdOP#o}y)GI!Dq#Rv~7@Em_aq>oA4@`Y4uXy(G0qRe_W8>LaGMs;0r`YdARE zGQ~)|`v4{_^zoevn{m~J7e_ZzY$vHVj)!y^WI2cl{)bm>SztF=kv7|iV#ao?DS@Ow zs>no*Hp2dR@V|0y=HaD@;`YOX(Pf)De3H*Yc`+C0epU)>19638Xs_ZDcR_=|fEMkn zv8lj(tt`9;QHQjg-jHuUCe@qj!lsD*_CTfB+7uN|v1VLQ&g!u#jjnC}x4`zma(#;h z$={XmLo~USfs4b1zzC7hp?Ktkz(6PWy6$8xG01~fDqg*cyM>u;1D3V1b3Is#@*dv;}YE6g1fs0clV7PazF3)>s<3= z^;Gv%b*-+ere>xG4FUq90vQs*_Tv!xXseqzI$7A+lJYPzlZv`nSpOnrW#b@a=44{w zVq#@x<{?!zadfpXHX&6qG`1pTB~_Ir)i5z4mH1`h{Bc>lG~|a42#9s2{{Vs?>VL?~ z@;`KkJk3I^HkEQo1;H^dQR$K5iW+_YQwzCcvOzFTUTbd_7a00EK6XgOp+DXu z9Ra~LRfE<#B+q}*AiTf`p01KRj0ip)hB;PtyHJ{^k%i2ZW2tZ8YYma8b?8s31HSd* ziO5f{w(t@L6=nDa&|DAZHPL@~)F-PB`PKZ3z=vGe-Sg!g=XeY6 zrL0ssPvMX4chID>)*xgu6+}MF5ooYE_zQy2id3=Bn^+ff_bY4r68^t@^--nQc zNf1~yPQ)zky>qT#IlvAqV8QlyA^Vfp`!m4RIm~<@hHJ-4biLPe^iztWNdj|E8 zQSGg=s>|hjRWAoVC;~y$M4?dXo1a)4CHMA^yKfr}5yT>dcS4T#D;8FJl6Ft;&h-nD z7g}j+o(oMt9eX*!vpIpx>p{_s)ayMWD&zZJeD_6}w!W*DNu-H)htnf@aV^{5TljM? ztSvb;u1+!Y!1F81B7$=}zNdPRRy6q3=~L6U(Php}lmY#9~#dy*au26 zsJ`a8$M8Rv0jAN;F2&}Dg(^9YTC5tFw2QwHH!;A`VI}1tso`~ zPF%9wWiZ06Mi+G5p)yZ4zb}=DUK5|VFZ{a9TI#tlMQ(=b*FTMZ6+vIhSoS(_#rBZx zUBg0Z*0iVkofkE@XS{eKJDu6LyBHgKMfqJ*Jle%JMEHK)v~Q5Yv3wF86gpHRDCOlM z9(~8NZAJc1q)!lM+#xa6+-}3F;*hU6cTi%tiGD}KmnYajaeQzd`aD6T4ARMXo|N`! zzZ}yo)_*xwb<5-dUMF7A8x0n>bkch%tlibNDRdav2(JAe{^hp6A=CFB4MQVi8#>ZH zLQ@+7Q1*AD#z_{Gs5BeGzsDVp@p&l4S#x>rE5$HV013JrpQ>K)0@Yn+(8oIj>JDvn z|5Se6??}mRIk4s1k|`5|T5R=g1jYJ{C1rpggkJX$=Z6MLJ0&Cg-NO50QeOIWnOaUx zO92-=4#(*Lg^t4w)#3~8LiLz{2y{cL3{1x=N1cokZK1f{-e*KDc3~GCPtJ&rhwO^4 zPu1*2fe099P5}u34(0_N1IoTx+Z+D9#Sx*yUsLOF#9^+kkmxr8qWf>Hx#jS=(SlW2-Mz8rU*8baM8}lc;-kp|%M>p4-)oBbBMMhisgN z`=qi=-k!B>W8W$7DtWg=yiZ#Zc`|{PGVo~Fg96udwLMv<=<1Y$t(Cv1{4)ZU9pRQ5 zXra+&K|c!W=#72ORYxthXzy5Jq67sSN_td}!zPc!Kw5Jjvc99TAq8*1!mno1@#19X zl^@UZ#2MKC>*F8>V67)TYbn0!>89LyMBnq{dM}IOAajju1vn*b)KC2>wBU;(f~$52 zotw)QRI>FzYN(a&sgLxuiu2@)dl=fF14FZ4Sw!RO%(p8AoME`}6nTv0ljH=g?nCZW zCzFf-?dD)@52q5#5`^%@Wsi%15uPV>v%D%yNoDwoyRMP=1;Tanx7f-yTH3@7#i%LJ z5&$i)^t$zurV&&FqGRa7!G+uny6>)6VAmOvwlQ1BW^f4ynqFZ(j(ObXUmyE(ZdTN( z?n0h7PrTD5M3YZs%CoK``<|b5jC3aSL@GTDq6xh;32B;C4XjoamRWCl6p;?I##WFL z!KB=e^>UDx%6Xcf@tl{dHpHy!eWLL-YjuMbtuN-ir(bO9WnLJ(7^Gs+jE`3$Oupnu zp43uIbup=uV-t40RgL(_6Z(ptW0Y^7B1Y>{hl4+B>!kjyFBu0ef>N#VrU#3$dEBk9 zDm!~Nw{qUt8xAcLZ@Cn9t6FXNm7h^}ZjjX_f|8v9^!MUvT%>mpPrK&U{=vgicR$d2ouQS(|Gw86T$7ijzF^c$Pk*#6sgZ zY*8cEbZ*_zi`8I*_MCL;^i$Qt6mkvrKp&LX5Ou4J03|6X7r?7-YTx24BO+I0uT2*Z zF)>cnje}!CL)r6f05ukO`Hjogo*wb?ckju_2vEsrX;vm=wBS>tqSIVA^G=So0;|oSj+p{? zNdSgk{UbZ|YDr8YLeyLbbiN~Ue3B*=2r~2GLJ1SLv6KPS`o*J$*do35LR|nTbDBOK z?CO;7rOG!g>7g!BXOgJB0xQ{)am7MB>JFTnE*p?)RsNIsqYbflfGvLJ`_KFq7AUz= z95h7r&=_Y9;*p+~3y z+D&%3$!==cyzZNwgnc|;nFIL`+D{jWC z0$pQ$SVbuX@<9#(<9BJN^P~MKLbu0(6K1Z&X!b$T6p|6TmftwD=3368=P*kRa|#9c zPmkfhi_Q|qk5CxdL*^|5#XEWq@7Dhkl~g5A|Hgz}SkeWWAC z&EdG=7xaK7+U8fBGZc*&j}qK|0kU%=qa1t(`& zCq?8EU$)PrMZTGmVWFZaM@yE@7Uc2N=wK`%&@yE|e*aMU{fT;!O6p~J_Mx+SC?0Ct zD;*;S&yDw}jA(jRag#`*-}95s4Pl>~Swv0UJ$iy z^PS`{dyQD<9379{_{`RH#^MI^mrRliwPdBbcIIhfqA(3@QYBqMn4Q3?PC??XH&dyu zbgxhLirss&8uS98L0;FN!12Sq6zwyP`~jGYM9{Q_H@wFck{jeyyrhDt@s#*LElICG z6jicbcM73Z%ZOJEd}NGnam*fZeH~^Cj=1ih)Sl{>7=kD1?mWwO+a=J5SzKqudH-M9 z`b)2>=+()3SJ0+WlpR^0R7xG-Wnzh=UnY-oe3Rc9(n%kK16YY+bO6GV@S6rhA5Gck zv0@RqtXiC+_csne))7FA=v*$#N?k5|WuVM0h?Dy9N&jboncCEJxdoreoS973H$^68 z_2If}4wY2@VwKs17IJi4ED~2B>XfRSGgi6_zYfU?0q~UYg(b5_tR+P zO-$ekzeQ(Weo=p=_~>HpFtu^=b=@07V5Y7?vM%ahl>YH#W1^%B@b!g0@_WUv!vOqb zAt6hlJpYQ@Hp-Z~bPq-BYGF=L7a!0uVvNjjJCz4u>#a0-Gr*&wd9WPDS)}-m-i&zrk>+V|g zLRx;+=d5kKKS^!uly^PxG2!6LyXS&8Ntv+#gp`*!qdhH%5b?!IUhi=#x^fP$+;$12 zk9<)naAS9?hWS}tFGv{s3Pco!u_$FP%#8L4@J|w+KP(aN1rrMSu zPK%fNXsV^$Vw0RUUKNBAHiDeHDs$^N)1dEZ^tM8{DH$#M&}@C8wCpT#T^N30$GEpX z?L2Q3awnAt~Rz8mXbX;Emdav|CoeLGGOp{lE%C-pRb zKUgwlDwvLqllsqwg;Vcbp)+`vR$y1#!c70>(Xk&#QLnZMoNwV^cotOzoq%#}TPbhy z*~DtVTSp+>)fI`Q6WpoCel+y^&Sr9Isod+F3{Vik58TI4W52XG8se>P?zX0e8RTNh7VENbzfot&)#_QM%p9@B#7Yzb zhMHz3h-aib)vln&B^umpcw>p(`;P|-2gPdEJ8`F{ zqiNlz>u--+>yFMlKhz>#)Z0wSY;-ia1?&Bb6&YkNbL8~mxaVVgj8bwDiWkTSD!{1mX|uk(ll(03L|!{Gf&>Gj9<`ZWImrRFi#6E zb<||)nP<7P-VLlk7rHyTp-#=|MIqy|-6Sxz#XVnmFn$BE|E>FY1%W8(5b56=lvCH# zW$$RMEcv@zn!)pu^|cTF-UE>`I~P7?vP0fGDeT8c3|VSDCIlKBvQRmUS+dM*!GJI4 ztZx-EjUQ6CmGE-WNDE;rH(5T>*a-$P>fCUFlPt4s|7z%5eHQC+O|ivJUa^t{>)F%N z=-fE})v0^73AqCTQvle_&humqcIFi4KHv-PZgga5nPSEdIsHos}&;#W;Y=5&(>!8PM6Te zjHgFf-1J3|)n~b%N+QfR0V1QWiiJt;`fm=*@qC27s}X)c?X1>-enf5ZRR~Xo$xDsA z6`qf90(PVjT?&;IH#R}^U@%6_-{U2Fd21RHIB_KXNfj{1IO4Y#(ISgtW%t#CJGbbD z#nDq_I3qkH6Un=%1iN|k*?F%$seWl>A$(HMW!*r#?V&vzUdwo+Gj#JG9(lrGO!ApI zd-QBxetF!Yr68l;DNpsIO(FUP%h7^>29udyT+f@Ds{_?)`I=}XVO0yB#wk`jd|3`W z0?pss%5X;b(W=a7GxlR#TQe`SBE(WjHNgdr&wiv*ec zb==_#VECAi`cK;Ob_)M+P2FG2pi<4hPLl(^6WPpBe}ellfUm>^WC&^K7Pq-Zv5e;^fqVipdu0FzgYD|7KGkrJAz);B9;Vh? z#cQRP#b_ZHgx?4slFW&*v=`ue_FgwRYhrNYe19h#G>^xfKL!~iQZPJQ#1RCt(t&oV4J-;X7_wIA%SYf2uimT~?&WlTF3!ww z6l&vMqME<=OZFLd!gW`rxcgQSmPYO?dB5ef)KX+3=-4cjZKxQ2PZUVp)nKC5%h9$EYq6sGmj$pbmF3OKA!|-YcCG zN`v>1g>!$k4_}yp61IgqvV!^9LpYIQ_1M#b|Mqbt1T(FXv<_oz5QmJ7kxdlng`8Fb z+)^^w%!;_jx;Lnh;-gHS=wJp-$R6~^E-NvBtav~ zoa-dBh{f80^CtnB6R1$z;YYd(CCs)_O~z%&SwA6tMi7%I_6+rPn<5LdD9bK*ym0PP zTBUa6%KfOwyofDDNQMZ)fOr;&={V^KzuzCG{I!YsQClX0#s*j8_FmX_S-6@TWovLM zM71pNdH1=x|fzE1(Bc^hF;?LAa{(v&!behL*k zenZt?9ITj~QNYN#A`ovd}z7kUp1c<*F+ZK|ZIwbRGGP^B&zMH#;K{-f$c%K}xK; zyT$0*T!k2B0&AA!?u^iVGbs_aXk`0+g70bqC{4{ZEi?ON-HYj)rJfdVQ0L;44HZO( zEt~Ge^b&E*&N!)Q?p|+(NqdyJ1Q2OMC3sJtMz<?X7BR@nA<)2eoFd zcAf9sqx_M{#$&3x1wA-`lly3$Fcu?+GkhDM{)U%g*ytAp%z~*}<#9c2*RBy_>8WDi zr2L%zi??ffps14+N?g($Uyy6w)6jFF;CkhG-7OJtZTAUJ-LZepLXSMq)SL%|^8#eJQS|@&Qu&%G6y1DGc-LjXC=p$NKlVg>i2#BHJ_vh86~1)@j|k1@->tOZ%&CJ$qHUK99;xd!%`Af6nfzBd&{Ud0%c49t z+NSb`F|T*#TpxLM`pn<|*UB0RB*yjqO{{_0CJIo}>JA#0si zw-|bS|H3U!SPEDt<_E6uIE=5o0lKDNw`D(w!AIlxh>`@UgokB7BYkc(?7{z4AOfE6^=Ykl5iy@qKuy>+9tuflgN`E7hbXYucv&^Z%%^i)CfjA8< zPj|N-7`8~JyG`u1-;GCrS5+-oVcc-$2ti6)TX@5_TI{K zfd*A|bQ9m@IedP^hNR85Q@2dSgXjnZl`du+WEjGoFC!QE^?jA<94cY#lsu6XHPfz?JP9vDyOa;89tzU!ha5zV zMMmTW>p*ibQRDsn5vD=~VTBvexi8N;`umEd0Za9fJh_q>zO4h84ayE~==(4}=JN3iJKSP3{QPm+T!z_riM+k3k29l*8w zRz1%Nw}o@p*O-T>rdeQ?#NuXa`^md9NmEBngbU%;gpK z?Ah$z%6|oa5hTtZmlT5>yJDPR>+W7-sS|Gh&0xu&Hnh)!~;N}DV*8r%Y3*k$d<3q zsn;#)L5zQ8445p1@g&gUyD4tQIKyW%B%4-MIXf^S?$Gl_J62bu&KN9hneclTg$*!W zqN%P*qW3W$mPY#KlmBUxhg;59Wl%89-pW`R*hs@AKXxr?5G6S%zO!x^v^VKzr=|y2 zbqu;Aawk-e(7)Z;`O(8z0R_+(Qxw7Nlwll@%XG~(hO)Wxy7;7+9X`|567!vU{>A)7 zBM{M3c1l+;-z{~Y7Ttnd^$Nl`m6Q>Mq4tL=ghT0J>CAruB( zl(mraJQO0{=)^>i@IhI=T0-oGUZS=j{!imtM3zx470XRfpu&vn%sM;&7N|*{)@d_; zj?vlu?w3;NN#`vLu_ubpomtoRX*y40?#Rq~p+kI>eggJ3lXkzXch|$3jJGyWSLyW! zif;@?nMfuYsaxSI&l-tqP(6DKbq2f&eePh3lFv9p`kHZ=mWG8t7tX`muSJto4+f`S z*9&wTntYzPgRUOPxUk$@!#8k}zD48TYb%~uCLZYZxV+x*zWQY`Fj6*; zT%sB`2WyY)0`k$LcNvt+DJwb_%;t0ta7b_idPJ?V{1$w`&x+ujI*$g)K?2V%%Edi@ zGtXTeaA-s8g3qN1af&`TZEAYr4jHPf0`iu2hYN&>6*u+S=ae$P3bu4jd(KO;%kjN? z@eMP$H0Sl93kG|IE0*h=lH8fl4Eb|zK;uj$|FYCur4AuG9N`)K!n++Zb6pnC_yinb zMK;Iy6D-(>q$dIQZ|2DJ=FP8j!*(5fXLQ1jgS<^9G-h{ocf8e~T~i03_%he7;Z*ZG zbmsj6txc!^v%QnTdDnZ{rw9>wsk3}pLfm!>Xzw0xv0I+Au?jCQdZDm8gDClhnDp$i zm_xi*s7atBOC|lo>PpqEVZ9>EiD#hxHN{I_#8n?C32Z4)5@;4F@6O89Q^!0C>;9$b zvMg#nxhi)j0y@oSdr5-09TzlhHr3!R3eR7LT}Sl^|2bxC3%D0Us@$0`6jD-EMvT_NP}c*4 z(eI!Hxp9zZO&Jx8-zvHoDlmjRiOV##>_vFw*WWU70wwrL+=c$R^(Duyw?8c|nMCi= zE;lB(4v@wry^P=}$oG)iE-d!r;5~u-gfFpJ8gG^v8%GwB^FGc1ZYhwndm)Ky&}j}9 z^y8jglK-Tx1a=SyPw>SD1ZdZz26P_rh*np@qV&=_R1So^E*y1$rAM& zbju9&9gLG)uci(S>=O3`3|lu4p^8mpZxGrH+u>rc*h?%&@eYJgZ(R^5Fe<_>IbEW@aa`WsOTEIGVok;Mq5tVQGym zV_=n|x>k|hR^0P;s~qO*eaD#^TPrp4kT24WgIC#Z{ z-&54>;3s20Fx>Nq0~C8Aw7sg#V+_b%O#X z6<$K%|5$A6av8W!);B+BH~w&-{v3}h2mFlZ@&3cz5eVq^W6Z9I++I1TFQRq;r$8y@ zlF%L0jbQUK{v#2{R_$__W3fg+*3?&jhAfYF$W33wlwDtvFu-oLW&#OEWQIRxp@_)5 zTZ8Qsqrt~y;4>`95>4P<>tkqJdir|1f$e@aW_;ec!xb3pQQ+ztn$3aRiYs`#UzK_C z_KfJ?i)DEDZnyV){Du>406@0;7vnbU0jYb5Y3sth6Y>+j0jC=e5ZfK^Q!5%0ig)nF z%CMhR#6*~;gOd&s(`)pc|iudZ6oAMElKM)KzcU_6!M<{bC zz`JHccMA|01%FjqkZS3L2V3m}b{9zC;0BhF8@1mFY-h2IX6Lz5g#aT%oE+0g6G9aA80wi2nXL!Ss^VUPAgSubS}%~-3Xs;Tkl)I_ z#wZzRC*4PKomzG0fFJ(U&A7!Lr@BjLsqb7!L_E`Ht&?=HOp#v@iK^y9yDBj{>_Q~O zvMX2~aQYWt!2@%0f7g5&bRL)`@qb5*LG5}wBW@u}L6rOAxe_Mq~Z{2c|Z(NnPy`8~! zzJ<+fr|@@d!P_t|Ds;K_Bt7DCvO|1RQ}CMKK@qU~3x-Z%d-AKWhG?dG>HB4mg>tSH zEN~|ROLINys}fxZWr2W14_J+51% z-kx#Z&Gfxiob7V%Z>5Jq8!6qC{a}-bcBHY74i4Hhr}+)^W^dr?~U*NBCqx1Di%Sf#XztRCBOb`b?$Y0)1%Ww z@F>oQ?o5U>CQ-eY>p6*MzdDv*3)_BdV_aO;=2@T~tBGLuN~>1U-sSXpF+F!ZI(PI& z#g#X4^POcB{wMU>hfxWA4_*T)M}mV8^nv9{yE;~9AC^nj)ZKvTLMPQ8;)Lvq?0SOf*lg?CdvXvudV2m5ip{6*0HNEPUUB8hA1L{Yr1$2U9avqTz{=?s~~MUV98zz{cr< z^2KX`LO}b=Da$h2WDJ8bZF|{6cN9yucBG}EEOg_0xXx7zzF^(WgH|Lu8vjnDS>}|0 zZe>_vP3>jortlO{A@9^zU1{Q8)AnWMZ=3hQ?!$N7PXEXu|0LnqPM@O*7EFH?T% z>_jABy)9vfqO(VqjvLd+{NxDvNdwdaYn+*bGwT%O&XXg3boIwv)<)~M4|znQXQe<` zP1}ctqKn}azZ$kf5ArIww|~|q=!Y*U5WW`ZJT^cSKv*+Izm;zJ#B9xNAMz1g2cAiw zOD%1D%o|N;VLS8zSjx}zTt~AT9;5J&f?nggBtKjy```59IqWdj6JK@ZYmr*yy zTiASq%FD{(b|#qL8YEaHbRqFy*ixm(&~{7uCaU{JwQk`Iyadi_;#GHGNi|-S_M;mY zVo7bTF5LOAk#vYSd(`TX=p>dMET)3R{bEdu1;UpfHiSba^=Ka}xc_vj6L!cRYB}6q zlMWuSwTpf<`?#(8r%8}?>7zT9KTa_pYKtQJr;9FM19cV@P_1qC2kWvQkQErB^=Qws zQ|R?E9RklPxNAM~u%%9^|BaW~FvBqtFgkND(P0Jjbw#wX_(X-$n0S!TtR9&vf<0KNiA2mRhd}ODYBF!~D6;p^?L_^j|Q%BM(u^z%(+< zJ6lkdJ^t)vZz0fntT2K+x;o1{eBrO6RTY2!;sM?Vg*HK;r&H0s>BgmQI~R5D8C8%K z1(+hqY!O>ZpJaoj`~CoNQmY)Ix6_^2_8$F%^yfIdu1rkcZJHZeyz5SK{X!NTUlB1v ziPSlGL8m+17RzdNx!#1Om%vB*6Q_+szn?t&fa=3IwatHtI_>}~s#93+`+h%ES-**^ z{H&|rMNe&lXk)lOW1kK)-lEt0gllTdbV|)=Cu1kP0dqFP{D6SX*kF%oS@JcB~hfvNQ8C?YH7u@PU#%3I}nd9E{}2lQy&bin%@ z3fRQVh!8X;epHFpCNOK`S*%e%qu;TgHj84xA2@^NvOOb0TlwGL-66ji7(+mzk|skMnc);a8n_L9UVJ3gF!Sl! zip^0vh;`TKip`NuQe3{l6|uyF>HZ20jS-s+XLOp*|GM^a@k09wsS-3r0r?9Vl0`HI zWEC1?W-q-*aEFZ@D;rGAJlx%lR#51Rn>)9>$weyIErf7Peo%4?%Wu%njFSa_Exhts z>*YmPhq_#^-4~~P0$PrhSqIt^rT{*7La2{p$SvW`7TXq1Jtsmw2MG;&xdcf-1j(k6 zk#*l_X<)272jWKw+{YHfA#t}scOPmdje}Sh8Ib%_ckO385gj-r8}wLScVU2gD;y6T z4?tWntIds#ZRs;n4peLadN}{9oy$c>?+7zI4L)=qX#jdHqpj_}M_HE>b0~BW&=+?e zPO16{3`xQA<6z#3(;h+|f=(2LCWpKjk1;}X32#Ff1s!GvfiF{ZeN88T(_2}4bhsNa OF$}`!-@gp+@Bbg`!~E+2 literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.rtlv_sg_swap.cdb b/SLEA/db/Chronometre.rtlv_sg_swap.cdb new file mode 100644 index 0000000000000000000000000000000000000000..690fc56fbd7cfd5639315ab0000b77a769e85d71 GIT binary patch literal 2283 zcmV@6CZdF4700000015~I000000CWKW z000000P6?<000000C)lHnn`b5MG(gwb`oQ6vg%=8IjB+ z9uGoXIPnSKBXHux4G9T817Cpy5=R!XFQ$GquikXmdmfF$WMVt7rB1*4ckR{nUXPW% zy}i9BXJ%&ZlliYa+j{>#^a}}oVxRS7g1;gR=<|ABO7_1@)~98)@tH9HATmD&6Z#*S z-@EyT(0|JOE1B>-D~e>%@vtdPR{Uk!Fq(LI{#B&VfzJ%$c)*wwo;-PDF@3D){7H1+ zqvmLQoY(93J?;In{f9&^J@LEPwBBKbpL0muPb56Z;2*sB z2yMgkjZ2f)P~OvC-*1UsABVt^A6^gshIULZ`Q_|WKXPetB2Rp>=qTbGFo+UbAm$iBaLR5 z)I@POxa58=`a=x61k6gH?BOsCiqqBhd+lDUzf*11cUrgltXUSPc!nCV&u#^+2|!E`#Y}>te$~G2X6^88?MQAy5NEZW*{c5%6aBV24Avrx4+x% zEO-08Ubk&7oH8x?BRDk8bGn&4Ox*nG)B^APam;^_N(>%w$)e9&c`sT)_FK_Jp?F`N zl=aN~{AsPwus~cry?Y0tMLZg~&Cl-;}u}}gXJZ9kUAZdSJdmDqxh-iCwmO)`psQV z5bu^CLAa&ku;k}kmmkhA2ZnETSa_Vaq7TmZDgW9Z_p3DgP@r^hBOdpRdcK36S$+{v zk}F9~di_oHfq$k^lGKweW~`&-0X#q;& zcI!qKo9zN58(9(J& zgu_iWWs-`htq4)g5u&Oiqz1*npz4WEwj$BdrV^{=IE(?-R4+{zj#rj07|DvV>TCvy z3avbuGFRUSr7%}(EJsNhU~!@}ch!gu^(*RvDO4nC3`NqPMV6Npb-|=iyD_Bnfo7_AKW~o)yrRHGnj^zCx%1v+)2_Lja*8`FbFd%V8t{n;zH-0b<>{ z{%#1-b6eMUIvGs=_3elU zsaqPnF)E_bM~H?WAsR%4sK*haE=P#^93kqWAta;M+Kqf9j-o!6p~F`nY9^o8l6lCA zmn(`Gsz@1%aY8ylk;;G`_C}~Z6Elv+JN8K6l-qio!4-5RgGYU zsoL0x?r|{H*fdQ5dM^n9y_Oh2T<0R|$V8V-yk3gG&zg;r;5yKyNI8RO_@F6|1`#3Z zafD>9QY+C_N^Pl&CPgyjM?xkJbrI7r5}0}z0_vavMSW&grdA(HB7bH{|HR6({0}<) zEy*6^Yf7Cv7IM)HSg^U26bT6vVvQeSInQIViR40#w1@5;ZDS_n7_d#YrgtSFMF_r8 z$7|=w7V8*PVX_GsQ(KX@J9>JH^d7(T7BxM7H68P9dBoVh3VsIqpNOu83yu!SefLIn z!l*q_zOT+vd$+4|+H#{}nD*Z7#y)L7?}WV~cFAh~SjdMJJ@R|Uq3*{a202Z|S<;ru z{Bz{2}tt;F+yK(xMRRd z#aFc;83qOcFv-9m0i>mY7@^MD-!~vWz%j_tH{R7RBq*}SWy1xKD;OAfz$C<6DIgnN z56CfKEzVKt3&5_2TXP<$9Mw5~{w}T)Rxe(_0XEbFYBb0>Ag3XW_78Cl^4+Arn}wAj z7ARo?RoIE4&=aK4X6qtj7KU7)qymycP|%0~F~U@kC;k0^j!o5h^_m&%vbO_qG~A5FrE+Bti5bIzu9&ljxmj(M5D-lprFA5+&*&%F#Q6F`|y%+i)0B zq74(w3}y^}=bYdB;eDPD`?;>Y_FDH^_gZ`HYd;JmBqY_eq$FO~uk!V`iPHyPS8p$F zInk%wFZ^9S9JwVVrMRC;i;2sKNj!Zj$8G5JA;8taiTm|i2X}4>ZbL0@Qzv_FHAh#! z>tz{r*D9_b1J3_OU9$hiq6hztL8PcW>N*j1bNEEZoivK454CT(9Y@>_xI-4rysT2c z#nW(4?H2ECobIs1jW0J@b;ufSvfpH`R3v4*ZB(og9WAV3rj~ItCYof5Ew{a0pFV z{cKy}*%D;U2cM}*n#O~`bBWTtXest@pBtr1j_`m={i!1QULZ1Y#Fupk6Y@)y4`hRr zeB3)T$~RnoSy?fG`hy38zQC+OEHdA^+{3M-J1}5gH>BauCt{}MMGic&{Hn7LtH*Xu z%Ln!s?)5rE%n7(dt27^|=lPGE&IOqtY5kqvNCd|2Nv-a1cqlhN_MRo>2mw~?Cdvlc zBj=#R+ytLCc@yk8)X@I!LTaKFZO$)HG+$=dWo?P{{$^1EsO4+Xm1dak9iN5d>B0JY zgrSjniMs#Vj&J zKe19ZivO4{&K@ZG_&t@dp-?I#0nJ19x3`X%)9k^~qzzA`rTCrgT|V=WUlvpl`8i|t zhpQ;s`XjHlYhz`vdtmPnnhf)jw!0h^V(b-Vk^s^ELfbyHnIYEkAP|Urc=@(XQ~3J_ z!CRst>s>9q21H^(m(*A=3kK!Ja==mxw?D0nS`o1kwbfo*XQ}UZ2bwf^Vk#_;+Ec{o zwn9tJXtsT{(J?&IT=WMl-rJZvLTKe;7Vo}KyY278)PWwYe(E0b3GZP|_H?!InM-Ts z*KT6#Nhc?mr>(3dV^h?w+WN=+Z{T76J#<6m zzUJGEE)7pHpfNev+Kic9nQN(1Fzy3QeUj;j`r9m#{x}}?Q7*8>iGY0f!U`%}%!0ign6oy1`BY?jg6+$%`YVy{2(=5J%!}^@Ts|$lsxZ%ua<~I^ zmc-J-557dKnd3S9iyD-1-$`U0YlgXVt@`qn@C88Ri+>+HKs&GxA4(!EJbiF97;X)9Auy$#2bTj{2mNaV-|z4mJI}>^k0)-H&xE-n#(h zMK(|K;^hst%H{a(9OU{tYODjcTsL9vfn3{N)+t{Qx5J9U4bM5=XTt)RCpWci%k7jJ zm6;2>q>lAJjBr69q%KFVP;|LfY9U=wYdmj=8SXPH(}a>Wu~;*}_ju>!0_nR*WS>Vo z!=OmcBiyIen{%1wx{oHhKFKy=LWNDAaH)DccD|(P{Jd*M*?ekkN5*K?r z8&l`9AN6fFKVM+jYHWwkPJ@;{?+Hz1sI@CSuoOl1nOSSI?l#2w*@$JJ568H!T7Y3u z@vM+1mHiy`K;VX9yo~*oc2CPXeZ+ll)i+(wr!0u{x3`$>!(WMXnOZu>M8NsO=Vp*! zis2IbqRfl7&#KKi(7)8Z?dORZem{_Run=SRST@lC zN72yc-l@=^vfop0krE8$p^w|M?T}s(Sq%a^g6mQkIcH1PTXODAmG??64@tCN*16K` zA6)bB!f^DVW0UjKfMn1^8(8OO~&(L%-$K7<2wr@=z zX|nR`VOe&5`HpDl-3aVQ+4aRQBbbxtH47=CBFK6-=Jh6w#7tNy;)x67%ahzn>c&x7 zWVb8k3=#LxqhIz>S+)%{(&xrLW#^)dk+(JR0qwZ0?q}Dd5Mf4n)*G1?(5_7nJ!lSR zI#Y3w&?`#0ISigIfyPX1#~fA|A|(HSRTD*NfT~y%KgEE?l$8!g$L_2+HAi&eYV~K~4;8ymx|b|ftbV!Pf31G0 zf`2@zB)7MKKG2R{{YR1~$LJ+adT;T|;I*58j>y4}*pG(?92Koa8})0zrrR^uW%;m= zpBG>-TV_IjwHpVYpl9hddC77ORgB}a@HNz%$k&~BIg?gA9J?U@vn_5X^e{fxZT&8? zVc!GDc^3cNO{n4888`J>#+miR#qFEuN5(mg>T=1Iwrt_o`I-Fh5(!kUbo zd(f-MLat|u`}7*zzW88GrA9vIXlU3(hkDa!ru@rTgCW_qKe|%Tekq1-^W!tbx4DkH z53cc#FGj@Lb#n88^{d-9>T~iG#8Nl`2F-&XB?*dQxc$AAf4#i)AWxy(r&JodW<+h? zpHlX>N&OneY}w)Cf0c8V{zpt)^;m=N zym!>?Af4o;FJG^jIl29x?yI{0#|-{|%v^f@i+QEze=z=s+13zla$n{lKO{K^I%E+r zeBNr4%6Qu`17tOr4;<1D^KYB^wL(Q?3{rOXqg}C{SCwDlL44)> zt|u>F9c3Lc+2l^LNP%b{ji#(4-nbz9J}6*KHxdbv=T;RKzHJf!Q=ZMt)xare{az;b z^H+K$r%}{)HA#h{8@3qkC>&u`ms@UZM0NciML>WVfE8*DP@h$mAp44!y4+9LiF zu%cS(Vd>jKYV1qntbCi|gO~MEum$JsnidjbK*%m%0FEeD$PQwQJ-k)lyftk zpQ3w){8=CK@)oDeal@M)kFMf)G-K~Ji({kwhyPgw3UB!-9Yr2G4mI%Y5Bo%kiljjc zmG!!Z^4X2H4uA1W&Cy6oeO&KfI+PxOiF!8QYFL`SgwBY_t5Ageq9_sMcQuLt6&{vb z%l{l)=Y~6WiIE+z1-tU~!@Njvt^Tfjokg=Zb_wG#goSiGp{MOcOE(+oBc+%=F79=j zZR%F){+Hp4>DV;e86oM0bekp1W?V&=WVR6T@?b;Bk%rGnz<6EyHQTY1-6a`x23jUv z`T&OrUKWO4TKoifTTIJxm_kjwp3^pmCn?`H9(cwLT4V*3^O1bN;-1lN>I3%?2XufYhU|GQP-) z1>5X_K5IMxvf31^KZI|l zYpL?fBU0N9B+5B%4%P&->g@T((oEOzvkHc4cfnlS4*S%;4gsEOla}|QaxN*KcD*M; z0HwGRz_b@1>$Ca`N*5o(^oJjIqQ)MLJB03bOC@NS=}BCOikGa5nt-|P2OS)xN5AyR ztOrHQCa-U1J1s6nVH#lGI!3jbe=EYi0pC11+7y_zc%!X{F;biUdP4sdiY|nLARBoX{W< zxI;E6gQGX3{Qjb|rn5AbZWe*7=8-?CXC5Gb8uM=>+D5mDXTR=sy`;-Zf(SrFC*|ncnbn_}M73 zk=?<~7W(iYayaDCl$?`^lFwg@cE-32oU!0jT*msIPPCA%;%wv!ELNxc;yZoj2=gdF zNNvgPl6f>V1X8fDf0v;&p`TiHOtCuQxxB!x7ppd)gI759d}Zl$wF;Cpo9G|VDt zd4v-+pK9XsoYq*2)~ZflFw)w@v?7q^N0VxX@2~0hrq1yg%X#a%S`Jpo`}}Y7?|(tI ztp1!-|N1yC2=8`-yyqxmmJwvqWC~5Yo?F$kaA3~x>*m5sS;;O6ctY%KH$=W`;C^w| zjdj?eQ&T?CDwivM-;Zxz`$(U+palABU%F%T$s(&4tyOZ}8w-brh*ozE!tUyYSC2G7 zp3ckxbfQ{YrVY(abw=j#=pOnlvZh|>Gqh;>Qo|jxW?MvBt@D^LO{LKrqvU1b6~;X7 zZ3$l7nL~6 z9Pd8YN{>@!dghO%UQ(iIdn7>j2$Q+v67N}Z^m=+ilTs`!#NwFydBX1_6XY#Vk;OHq zJxYgnp0=XXWv#+OM|5S+Y>X>@cq^bhc7+m?DOGz|Do>r{Ua`_`02EUaU!NVy!WB|y z8hp!t_nqDepXYxmQ}kOXGQ*Sc*(A+x6-#4VZRZwBq+F)pWZRU_VGkol?D1$tizDcc z^-RqC^*mS6z-(f((NN1;M}F>nxNeCJye1QJ8PMDE6w0RM-c9`N!P%yOn?GeH3TXbW z05~e}wsDk9n38!KgJRaWC6`}}V%}&JBtciUj?XO~fs8F10Gc(sFI)?%D;VB5>Lg1!=sYz=Bx_El*lxo~NV32XkUf1-UZU4e;>QSLm4bxZVvv zqBZxD5|eGlSDj8Wv+efFh&}Q7K`2r~2-}_GTB5VbS(O_Kw>YrA8}VDdyA$*JEnX?N zX*&%+DOca6Eg>mFh#<-j*mkL$+s`#ZjI0Ik-Lvx=J%M#@BKl&*u->y9q zb_4va^Fd*X%EzR5BR_EL^hX;ZBdBH)a4z$E*EIpciVYo`)*G-YBi;Xd1QSm(qlTy! zkObq)hDNFA@>t^oxYF~J!fNh<|f$t8$T8zEFSre7E}u;+n#U6LAX!Vh9{^ccDHSSmgx+JUnL5=j4(mqQZRvW z-t!QlnffVO=TJ@T4I(_q2oJaUOb9=a524}@SsRZ`syoxhG5iNsOkBQINJ+;lN8Mx2tXMt}LfNCfGtK7la7HQv()J0gywhku z_h#F)LVAy^<4r|qx4iL|tDq$B+%)7W-(@1Fpqp@!QaYc!16RhmfGhuS@{S4&4@jnI zB2W5fx@-g-0@f5)7g_OTVthrSK}=k@=|6FR-)s}POeYFQ^_MT%ft81W*cklg#A>pp zqU^T(^O9CWEOVnw`6eFw?Ce1`ieS}AX!xW4WZ#nXZS=V2fg4Se;;Z$WO)p+uZwZ#o*S^GKcc17h>^Yhk)IGub}xz_CLDEA^?y&a)pbE7*LM)T?-nANks}(@$;syeL+c^v4jfxtgG1*rr%5b2;4V9GQn?iP zrpFO9sWb4B&80+yDQKVOdV`VlDZeGrC~}4y=COg7fko5s-BW&x?kh{fD%;Xa1}gSriwX5fb%B}}S8b{Ozn&?9I``LL*|VVF1~YvZAYY3QMhCb-GHXwhm^Q7~I)5r(7EOlqydRI5eKszpu5YT49q@#BX_PN82$> zrD3rHyZt1;qH)D8>PIQ)@peDTdp%@eQR0wjkqKfAU$`sbJ6gA;tC`^{a2{ri`Id%c z!SKw;@(rs9Iq9uc3;l6YpuG9aL38Vd%XR5(vzK?$z6A!cHW(twvHQIqxC7>8iTOT0 zbw~o+0$)>7slUt*qkT+@b!KRT&SstnV6_9oW#pXoXQg#b=y7rAhHA4uaJE3kkU-qd z=_ic86v7@=oilFDd_x&rXtLRke~J1K_?u874X%JfXD-N^PmDDH{YsrrO7J)LCE?<*kyTanP$G z9rOYyc5aMiiD~FSn6mn$UDUYc(lPCbO1M&#DFw{R=55(^LOk>%deCL@3@+S zp9We`>Q{I(E7OcL0_kRqQj@_{?Rt71StsCpvZb>eUdwshYTH#9m{PVjWs_p1+* zCEs6&Ln#DEwB(ZOu53U|gEy+^k>L2GaGN#w$@i(QOSyts`1w|E|7kuZH`_r3!HIU% ziR~JgJf%G1to(aM8?b}1L^$AaQ!zR}iX{$Kf|MbKU=B;z399UgA6m=l!6UqZU+EQv zg&h5iaJkH%>q?xw?dWXzoho|G|o4H_Q-G2cu9v z8Z-H*vVq9Ifu>Ld?{SL%KqvU=8tyXzw?4<&A=xu$V__2R`&b`NABL`}Xn=y4jdl|XJoFO%D=q^&6?ibaEtQCM99a|;GG>WIZ9T#(>DYts}h^ zfDP#~9*oB>883S3@rSLw+2PYiA?-ez0pJAJX+jsiU7v?xFt1LKlDj zSPj>$HCI*>5HunV%k4>L&szcE1g|OC3 z8}P;*lO!a6;Mtk^Xide@hK^J%xZlM;pk?KBuY%*wf^FNv#J*@#^Drb{iKw@iAxH@I z&!G0at!zwTG0*?L;4bQ)zLhbm(;FC%;2El!*4x<}om$x0xP-H57nds?W1x72$4j{- zT*VFHtwwv5sCP4w9)6qZ`BE>I$L{N zn+q*cigB{`ZLUELwfv<#N#j_MetCDWf$@ySzQE}^laNZ0EmD#At7Z?zm zx!0u>C8Ac#p3`<2l+^wWK4ISi+Ay^V2Oq$&eLz9V@}8>q8RE za+Dr?TGkf+3sHn*Vs3YdCTinIL1-3ap&%i!8o_dQc4}=j&U?0~I?jmydMJ{5Zq~Vv z%z-ft;$PMr{rsnw|65k3bEX>9|FrV)dvh>Q%-3SrXv<_+$9hlT9b!kXu;HJjtG7Z! zJo&aJib>x;Zy&99>iGHXb9V}%YyliH0v8zhm? zF8o*MOiCbZBt`wc@22=o;y?dSudaef@7Otzkm+%=P}#p@Eem?bFcA^NeXz%RhnWq% z%dzHLxY5NcM*iq^80qH-vM_Es0|w*0UG`k2YAcdA1tiA36vc0UhXl3Xkh>ul`m)FG z<@lV*Q^y;Xn<@rk6pjuM2lWX3KpFN1K_1?oyxu{H9_KM@s;B=R;SgEtWLZ??JC**7~h!)0h0ddUl-sr6#= zxnra)S=oFgb8ockkXF2=+p+NCUPi2luOf#==Hwi$r{5VSTfSBz`$ECU{c70tLwHCQ3zc7n~{zbKYTk155zG?iUdA9e;V-s_7f5?4v6K=hA|DSBfA z18x`>q%qw7aU-R%t9IsArke2mTY0a8pK~YHEep(!jY+v|ur@ba-Qv!=$$jJBc>W)N Ckq;68 literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.sgdiff.hdb b/SLEA/db/Chronometre.sgdiff.hdb new file mode 100644 index 0000000000000000000000000000000000000000..a18daf001ad719960e8057bbf9e447bf29d4afcc GIT binary patch literal 16709 zcmYg%19WCV&v4tV-L0*y{nU25wQbvWyS3YGx3+EDeQMjb?Z5B)>pS3imDPf;G#tL>JwicI{zxiH&A|{qIM?zsd)(`)`ZKY^r z*x<=`5QM*KD{Yg?Uz||8s)Zxmmr-_dJbre%UFisRe-14k4Cz4Oz%e8AwSZLxJ^UDx z{P$ea<*?J2dstG{>$%89tw@(YyFb9O2r3iPtDoDO`&_b&gNyDVl5Z#)8(}hBvYd#8 zE)hFkImL_N=+cIiR~iby3jYOO*VZ=SNmi!R*t3Za-cs_$7a4p3UkXd`#Sw@aOr-Kujlft(VS)$uL8YErSTk3bdk(StNasrX>x074Gk`gOahOOSLW8Z8J7R-XCp>Py_mz z!baHCgn4V~rr^^dv~x^5ZkUeNW`wghl|jPew0nWbD48GIjbTXnX;>XnKEKC=I;&n1 zis^r-QZVek=s<~;93m-N8Rn0^KS96;Xo>DD{(fIq&gcPx-Rike%;z!2>^XD3s=|oc zE0!ZEgTp@y!WR+k2Tmn}Cm#BJ5F@!BwJ1wX<5N>E{JHFH=1s#7RGjXdow#I)&x71p z_$^-?G7+0kDR54BBs^_7BY<1%;yc74GPuYP6-VZn8G9WnCc0iC*!X9`Or6Bkp!e41 z=R~3mjGRNsgx%jD+B}3%oCZsbGO8MkZn~i|lR}k}0e)CHT3C#tdqgE~CRoZtKgfvB zq$pF=dX4AGx(uUICJzYKVK+4(oaRM~2%3$-cLQiRTWI=Z+O;>bW+#5#|- z${#CS-$kY-6uq_2_Ow$U)q`~-!lzq=-w=gXb=?ECRyDmT{`8RzSB$fcUBbPw&K|*e z;ug$ZUq7v86Bwu z_h80JUK-(lyNJb%>(%_%f99%(k?tWV+mER;$vPT(tRbks6G%|I_pElPoGJzipGgg> zY_K0(Xam<%ReOAc~)@Yr>mR9C+cKpx>5+4I)S}FldCocri5p~@}J!)F^fV{ zWipF`=Vwx7x1WVC#~XbjKXbDCiR908WdE>W+6FFo4njGkGEIg!upb-c{ks{$rdUg= zWwF*2BCU!_S}@%IRbuCS1T-EV@VLlW6`-qUt#ZauL#_vO?z1*D#bKfWZmC7Y_I{xL z(50EJq>rT&KIkaKt&}6uNht1(X{@lNM zGQiD$`)j~3l7ea?Qs%fPazs!OLTR4TR}-W4S-}KfrwI{vG|59(GkrG^zMI0t9gp$> zQK;hC6Z1HLwz+mF1EOTO%~FZqrKdWeXa$ElVrT_pG-0ogvFG;$MU-slSDUQUOYQWK zuT6OJLcWP;1?M`0KXi-*c>&33Zt;lD@enQ}1jjd?L^Y9a*s5`V@ep)2@&D}3(g|DE z6-NS+=~w19Zo|szTq5gS+V}O3lX!Lhi7Ur_V=1V`w^LpX?tdOT2KcJ%hJI<19W z{SM*AW>SyXxV5L&g&uT|b!wg5>DeqV*K7|R?!=NM!Bct*sW{XSjk+*w<~WLU+{Un~ zbFnhyLANrrMtX{Lr7B99M)#PeT0AJ@$otE0kIk?f7rAkJs&penDaPYXuVRepIyPFQ zZVzWDp9H&UF*H4NWjQv(P^Km6^iB##?G7>XZdickH4sPpQcOMQE+-qvTiudP{RotD zjnL`vHpx}KuR0waqe9ed6QwWwbKaN6as2n|*T2K${H9@Cs({cAZcrvxr zAuddV;Z7s%*WkKI{ZxYof6&_7{&tW6hZ73x*lkZtR_v)JKRgG>jMC2!(2~$Ulq$mZ zsQwN1(En2s$tba<8my|KEvLy=PaM^4pB~#}l($lnX_8!k%f-5y;9~VtlvaKbD4@*l zOl;e4Y@!KPG5#u8UidetPBo?mBdN2Qvrp!aulq(54wqe&PQwCv?eoCqD&oF3i_{CHWk$i(TNrKyGEZW>Ih{U_9qG_@PJ zJcV~PEKZ^?jV>W~H8Ya;Bg%+SH+FD0sSK z=J#0YLO~%j?Yf2CS%$J>P?^@rtv|h1U)lHEHpb+Aoi-SfX!_23O%~HKtd4)_!+AVc zcTxo{d1QEP&Z(vOEc>a8P<@_p8P}QozR&zBipGg@*QU#=eDjg=3QGo;++2vD71?@2 z0%_gDmos4e3idw0tS%cZF#MI=DW=9V@G)M(4g~gp@dV&Rs=-dshqQ4lDlqxJzX1x1 z-SG3Xb_WR2ji&wH#ff#RQHaV;8r_id^LGmf9&`hhxRJgB$^fM;cUTX)@bClfPtKB2 zn1boyo{57SuO&}?ra7f*@DJD2Wg)u=E_K&c3LT5YUHC%un`c<1n=wR~SEmC0Zkj*0 zGa13%`{O|DKv1?HaBcX=JWVu3#>2uw!ctIC+`_^%7)V|ov#&&$`$DQQUu#(Ev66Qi z1szfL>S7t@UY&QqLYI7ty|G;MnGac2dWsVNM7zzFmkvDX`JCEsuPFaPnQvc5x+)!j z+wavnYYN*LZha;@?s_>G3?B4}{uo0@iw?Kj1H@$o;&sVtOf{Y2s}|$yQ$J|j2Gmv# z_Equ*-|($3bhbtsXa1SWg@_+95iXwUMeV?5iuJ~E<&WYWVL}eusf62Q3DJAr3~F*( zp3Em)!g#=8X_9sU818ZY<_?TnDq3j1ftl6&B~Ro%{Mk3eSYN?;!ajr^M(dUvpK5|o zR`;hsz=P#7Acbrnfl1c#x}-cClmqOZ>DUR}1KwmpB781i3nEP$k@U!jXfQnIC;<3D z+x?QWTsLk|mYavyjGZ#SQuX&T4(ea0MK&2)BLS~SKv7GUNPsY|Fkf_C*OihoCCEw& zHzb=BkOgrJrA$coH>@ZzS1n#{o5TVsA$Y&X^QZ3qT~5LmIOy*Pgl-l&VwHUaBFoM( zSTN)Xp&lk{_PjTPM2R&(lMr;^8DmHtLF$3}910P((tN;yI;y|qt4Ew15utd|)t)Ii zq~_5rkfO&2mC-D&mySKGLPY6T__`PZCCz2Id5(o@e5pS{I!eUZ%=K;10{EW+GEAQhvZtE(8(@g^x(pzO2MR1`?CE z5{@xBD}CA}-mSrYK2S3S)R4$ppoj>N&&UWs<3e~P_?;^%lg;kbWM&%&$4w%&A6!PRfVRG|<7EwcU zKuIK{7B-BKJPC|M1CB%J6apxw*rY&8rJ)!;S7AjAVosJ(dJhGiA3 zl5G3KaB1+mK_xk_H+Yz8Rh*WF&;>iI>5OF9ZK0-02Xn5CNhi-e+*h`I@^{^tq30?F zgNl)est&B_yoL)?-ej57{hf}aPI+t@BxXB39qF!!$!t9e!wh7QzD>2!G|z#2G5$`_ z;J3KYnE#^9cLAactpe7#;iNAj~QlAjE-~MlVKOtsN(vM%b@<`L6=IOuwrx){ zdB{TTGVL+zjd&oSL)tWzN3mdRnu^>Zf_u~#a0ydSz@nQMEv&99DP$Sq!Vc!hH%?0< z$Qmd`upf{-Ve}korQr2Ia*aV*S?Q_X1b=j=z zP@ca>P-J=tB*ykco0z=kF>wmmJUGVYR_(^!JG#Lot1{r8YIbTfL(1rAwxA&(&4jOx zJ>`tIT8mU}jENO2cUlWr>t2RZlE)@TyVp-15Ex;sc3M|Zf3qVzDO~!EzL&dM4it~e zZ?z^MU+c7n7BY+Ui$YoHv<^zhjAS}i(QinO&6j4tHLTFB!XdW!o*cB+!viEQWnGtz zqU?K;eXUMyDsV?IK~;uic$2%HX7`m29+8-GU`TR#2vK`7!d`;Z7%fY6*f%roz{x@A zVioB-HCyuZE~ol)=9X6;+OH0cli<`b0_sZjHq z9n=S1QMOL&603*0)^gHs$ zhd3TxHd?Evx*fvyREE>+gGPi4;AZF8z1)sE+uXMPz4W ztcwF9N~b}#zMj*AGz0YVHvBVWzCLt z6ZPP0732Of)_(qP?%KH=EgtH2gwm65e$si7P|ej&_Z5yokLsw0T@6xecOpJ5$Pb0X z>*kq=7xa8`D8ZGru2UtV_oak(^yQ$?(gf8KXrT=Gu0$LowNmrCk10zTXh<-{%vJI< z4S1-dbc$?iUgka-ylV;>^Z%?m9yRG!MWn&cyD6tszRAC&&X1B3>3#dhf-U@jWq4|8 zoD?lK|4(8ne+g#4`xgare*3Z*+TDHh(x)s@b)K}U_jS**2BYy6=r%`Pk~s31w99dP zIjJ2u6eO2u++u7pb*%zbENe_E)k+hnFkd9w?4FHL38CmcBND}`e5H8OpK&8#1CD43 zrz=iyH1O#U&~ZNJN}Se!Bb{-Z6iAz}hS<+_H0^?UtI<#wKpSOpK`?&FQ&o=ty@mmw zf0H{N1)fykElF<$6G!^h$tHzCHhRVZphE$Jp2)JoAlb&bV)OM|({~*ESw9|#ZebF` z8Dlp_j7VPzV1ngm229F4YNmuGs?^JK^Hh3LPL(4@@e%4(=a&f|=^2S=*v> z7?W)Y3l;+^qPMDe2}5us;% z&gZ5@iQ%W@g)gv^(EF~t7g$3G(uqt^Lj;=V6;yfP!DT#HzIUvkTDkn{6Asg)a7MTL z^I@2t@f0;4__1uU$U_H)(TLCh4!Bv5=Ty`i_)!sMcwV-=EuIqi`rf!u4>>3VIyTlT z+vymI^t2_p3O3dX+xk+EKfk^35SYg-X+svUS5^sVQ4O+aY(PZU5=}@fhuYj3IIIY0 zofLNj)!xk_4x>zkM8U#xVZ@zanY0HT5q-$IA^M>LK|_M7tdkA!Wv+)U3Sh*2ZyEAf?I=- zA%!y5v_(n$Oge{Y$RYL9P#r}J0 z%^ONQZ!oD8h6*4_ATa8o2uMM?Te0uq9Wr+f7`+Sjia*KH?-}agglbX&;))!qhASj3 z4cmE8+5X%j+Ulp(O0B2qNS^Ng1>`E(HK zAFEq671-Vg#rQ(u*;?s<()+30{eA|9sgFIl8N{N3WyfxiL4iOcN*Wo2eDcCL7~S6k ze&mA%F@4y^0L}<$@ME%R&67Scr@XKw>|<%=+tb%EOEZ5iXg161=<*) zWe?-JV{ubOT14SnY-M1C8G$4m=@3g~i-cEzDQts4HggFjoxG~1I#gccc1*IJyRgZy|peif;a8^WVFCZ98ckRa?Suc5_9{Ge$76B*5bKY3hN^&ELuo(qXF^Q#c6V}9l zIKu}XwUB{;Q?a-P2@O?pR#yVmNNlydNduPQco%;N!zf;SsM5ijiUF)Jo!s5^8tHUw zPe#TSAR2@PaS2p_FB0{td8mRacJ6?!wWs9@g`PN`19K=;Lr^e zwHJlSG{aI&9K#8Y$it|eJTiw}sb~|%FpSIpmVw%oQP}7n@L{EzJe~_TJt`xZJ3T5p zO_`>cD=KQGOQWAQWzFE(KPb;wonZ$zmKR5mYfVv(FFPTJEMXX?Hf&SSKjk=Msk8+j zn8Hzyie>yhl~BjCtd$nIPEQ`7coXXmIXTCDhc2qLyT3~*y9!UyPNHIeE|t>SIu>Wl zbPU|;YIunDMW0(?e`b>Y?lc3aY!#+V(kdNoC-d0&#;m?aSgR`_bny~j%j zZ}_uis>jsS>g@zQ3unL-%X7eqej9!z`?>ZJz!z&E8Ys>Ps&RO(Ts`trWQG!PbPiSELC-Cbx*u4o2{k4yJ}q&zVZTj1C~vJM)!=0Q61Atr z;Jj7qxqt8#*f3YfH~>8oCpM4~$p}x>bj2K8p2`(1I6v&!!#K%;vTHgrn(LDjG~^qbzf45J8G0m}5AYh(LsC22jXf0u5P;Su!FG)<5NYu7zUCqEOiVu$RloP$tNx z$%DcUg~UY&G>Um(MOc!VF=G$&4un{e$w`jotd$K@6~`ftSxSa$;7m+8HI-@MH7_#aV9wpu@QJqVrUZV$g{KR7;=wid=FcYPBRhbzZ$B2Q1Ub7vx= zo3pHMN2@KH(pzgBOj)d=jJxSy8_+7LovfG;q}~)PVDNCRH-A&?z$5N2B89hVaM4D} znv3!hr|4gEZ}Nx74gx0D-BDbzQ_Dkq_n1pDdPpYmP`}lzcYj_-3?3wR-|}L9rnE6< zMxVS>&tuz}!cyXFS;J9cf8<()3S*`@{Cs+A!~L^N5q*Oii;Ry{;KVr%|v zF{OYIVK|M>xvdnt$NCY}ANyc`fMoyb_x3(BSBA7-Mk+eNxvZN|PO%SGbm6Mt&EjWW z>Ah@Qj|6mq+87)TcDrFe_Us_&#&SQ!x4iu8)tBq1IVohKFl{t)olL|TYiOpBj*FS>j$=eI8yo0-6Kpc#X?2g=| zYNh9+_pF+@X?t!t#{TL7Q;YP`{&YO?>%-tzKgz!&?;N$yEX_CUN8QU=!gt2((s>P+ z8t>NeIYsm#K;z|Z>F&xKItXue{aC=@t2djwjp3L&$JWe2eYDU_C(8tzWc$?co)xow zW6^4)OHUln%Bp^(s1I|Im?aMAQ*Ya?x#O|?n)3Q@u z1!d{8yFSu=nN>_NdyVu=RUk!(neBShig+@IK^VKSy8DA$=k%tjJQh9nrtPv@{pP;A zpTPy{BPj54*QxX*S$QfnmzSZj8}OM6!Nn4*ed8y(rg3Ff`<`(ehp)Y7v&2X5qwTHz zoMEJWaoak3UFF~sv3coUjnS&M+M?~sy%VN|`6-F3*8WBJD^mp~b z?27qn>*Q!aHvo#?#Cfu1_xPHaUGh|{+wZEQmW?vA5IfDD!(mezDK3cLxO^v1 zM`iR39zDKYHIokA%Pt%GnO84`p5=T;4$(ar*|whq9liW5pVwf0YFYdgmpZ7{woT(p9o9;EMPq)>C}Rx?hj?Bj;jXC(uY0DDr>4GVA4(B1X2lx^?I7bdp}u2iI2LQ&#BewbrZr0qpY3EkH6OXXl@^Aw?Z#J+w_Ji} zUJh$yres%&^SxyUKE|FxZ#>qeD*WDUM98S$mo9zhg0Ijz^Ie+;TBW9BM=sF5WN#dz z$Iy}J-wPMbWZvn{E{4~%?bh(C$8708)(Y>+L7QC08@ufDwY>&$xPAINP&q`S8r9NB_!Rob_i_dawRF!&wr3@UHja zHXAOV_Pz>2iLheFtouY`@N&-5Lzlo2?xTKl2_C z9Uc~|@LqRqx?1APxL7hw>PWnM+G(_HKTKxltDHigUyE{Tt6zw{I5RrhTjcL&r<=C? zD&MfJdhnLn8-27om2znESpD>kCfGhdbZgvuLgBu=cf-G z$cN-x>b+p_r?7aB!RMEMk)2-|y4q1-Yrn=->!=#!>JA63mWrgWoDZ<>CmT>SF{@e#ucoBB8fV^xe43eN@*U#MTx^ANjYWBF zB;QEkyZ}^>xqa8J^b`(Xhg(PpXIshaY)2xQN=y_8JmMI-KSsEmIx_2)lh01-r%Q}> ze4P#X2ML|g@>`BF+KCI|Wix?l!)!JN=?wD+Fg*r)(s)-7z$=i;bfC79b*dH3@EB{< z-CU9%3f5~hUycta#%dOqbDlSRhq+x$W>X$s5k>xFqr}^DLXM5(^fPnNl-x8Q_^SP% zM}leHq?}HKhC=X*VgWCgKTY7PYXV*fP4k0E?D?5&I~*+sX(C?^JYP}T;R~&kc99GG zQLh)ZuU-m%9u=Q(C11uBpPKVqSmjsZnGP~uA8?}$Gg}5y?cU&8tGgUccwg1}V@%xy zS}}LxwFk?(p%=w*-IxayB+Q5{)h4gqKnAgo=9&%ErrM9p5+ylX%-X@B)XgxAp|>oo zs<|84cEIKfjZze`)*;?@M&76Pl1=I!afq)sPg9h`q}^n^Y@&rY{#+E&r~!%J=HR?d zHpB%s%<9&kp$9-re(c-NhNnQb)SQhUnxumHdY7`(x-hF&f?mu`P3jcUOk(Mb4sR^; z4-P{|3f-KlX73%8s$Z0+`L(Z5@>{6obDwU5r}KfeWiQ>Z54Z}&oh?^I(R=}P7q^(p zvlxVZNqa}DeN5f>-?Ew294yBezFF+E=Sb^0UF@`t4sce)Mz)&ZGVlF6Lm3|Pfnu8! zpv}MnpygQF}31%1ji3kGv6sO(dqz{(ClORTxa2N{@sRRcBXqCZLfmR zb6#rPZa;0q@E+4y`g7HErg+|?&*biUO*Fjr!%8I7VF4G;&g zvDCF|&8-|QTt`<83-YF=7)vAj2+0;&;wWQ2=}~)lEO=8^dT|BlCYm1nD;8Iu=daGs z6R`r?tRsq|M-{w^VSSXOVxoV*W@D<%@UQHPqAPjS9u)T*z7#0TpuZ);qUY&N$Q17;Qj0h$?&JtKHpk00d5(f?E~6etSa&q z-i@JCGxmE^SwE)R^fM!T6U!kUe$g7OyFFZH>|f5OWx+?~j6H$%LCnyZCyei_nE-hK z`+mSUx9=D15C4?E>5OGPtaKGB5{@68%s8fKkEw2-PRP0p- z#C?|)z}401#<9iT=3{N%;^qkCrTNlcJYz5c>Vfus2oo*IQ>nhF14~+3k@Il|yOW3s zp@PKhZ=d;zk)}ppG_Y?u1>QHGF^RH3L|tX!_q`}?_kOIoB0j|&g=UN$AI$`W-Wi0} z-pttSb*?odMO%;U5slUeS2$vHx(o0!t#Hq7ac>e@0V2NAem+5LyYDhBax*bGuL<}X z>U)qCJaPDS6d{Nc=3WQu+ zH&&KTpqEx6E;Xzf;B#pcVi}tUJ*MChwqaZK?fcJREH%;WwLO~f!bVc&vd---ylzeS$~#Kp8^qZz(>4nu7_TX_N)d5qS$xHdz1r?2gO9nIbK zNxpV-M|$5EH**aplSXp&zh=2PIpVYNCXf(qaL47M5L)sNsr03o-5+OhL2qJjAc68phJ51 zKYAogTeF4Bk(a9zh^v!{`5A;aiG(*Pg`c?-`ocC5!?vog;!-=5LOPI;39@e9IlEoV z>jqzm1D@F31LBeLV?#5%&Cajn0$%X-!AU=>;9vaVUvl7Ipouzu^72h{ z^RNW0>;PuIk~}`b;a^JNUzD(K%>era@Gnl-x0rza|CrE7TYQkarfM=X&>O_F{|1r5p{eU!SRHDN98?@7A#6Q-wVp^bm&+RE+%D zV0V!Ev*2sEO1m)y1D#Z4mlHiOx%U_X*JdzakRWqfCggSr#9ulg9XcU+5J5EYcO-iZ zApYGMUez~J=7&EJE7cf1#c#RA?GYE*8(hqD`1zR{Ch8qO0n5-uAOGYrpHw#8zLkao zDCG$C)(fNLMmZ84aKVaTOtZyS{71}+ed~>V`vKU8@Yi3?YVpk--xme!LmB_p3AqQ` zU2=^aFCauFs1R4nk#8yjO&FgoJ`7l}b1`#BUy8h+l-a~d#>Ay4Ow)C7VqR~yu z{DO{sizrZXvwvaKvAi?;tdqxeg@`hdek-BdO-{1;1N_t5^+hIrgdeO|e8_#z@oD<^ z)^pEeK>Wz&&IZ+Gy^oOUwvxX+1wR`XQ|p=&*-j*&e0cYj)u!9UU0qc{&D3i)C7n|r0tFam5h=6bd}{&1!0Xm zFcI8wXy(d5u-xt3)2RyVa2E_+I`lBITf08SSoh4tO34^_Sb~wSJb^Ch5a60=*V*7* z?{dOYRPK7ah8Om2IGO!h7C<#H4;0H^bMYEcnNSvKSY-S8JTSvC}H`PXLu5jY?dgCKR~hqj^sSYkeGi~hhxb?K{W6aMHy097Rs4FUPyaDKz&Uc&cX#8x8wOe*{gDyCR>lY8X{RjLhytPIAw&+Md(ZF6A{ zd{NIjY?R-u4JHaHaUPAQE_dmLc^GB#U%ECvauU}t#UWvl*gJnyArWb?-aGK(JZ@o( z!DAZ;UhvLNI^&r_n30P}VT{W&qjr&j3zA(jlHEcQ!|JeS6qFlB6bB0A*-3+Jpa}}J zfm`!0K&zB#9j&mhSmK>#>d2Wf@XQ2=f_+PleQSz+n}n?BObGm-Ci-kV)TztROCY|A zCmuEi+5zL9K>Li|cLLTRLo#WG-U4=O*th;_Lpy&2)|G7i5J@8?gk5phzJB|*j5+`X z$PM?c--t9HSQtwl|G$-Y4OljcfSa>7Oo8cyHK^B6SFYHa$+6S-Q25lIPf_&}auet+ zsK?k7*VGXyW1y4?5a|br$E^t!W4n_6Bk)wZP@fqgYRi+E#86d)#UGi6mqPe5j`$8> zTn*ofirlU6I3kT9LheUI@+mLktuEq?3*GyO1dNNW3GF#(^?$B(O&B+3Cc0h7(^AZZ zEtMZsE>Wab=0q=}K`;9YyO0U{V4DMe^#Mine78>DsVCwsC87;S_Z&0!NZeu2zm)A7 z`+cxA`M^)lkN5B6Euhf7?OSvJkFqfLw6GQh)%_EagpM%xkTCb6Fn0{(KNIfFNl&&`>2U4} z{XApg8|?>z%-zX~wEW%WXD@?>d|Uj}>@_}PJ?>rdKDu4X=3RG4iSh0Xn`Fe08OcbM zU$FL7;*)R!gu1N69$%|>v z*ch9U463k=j=Az>Ns@&VgOauFnzZu~8^Mx=Yb{)l_i=D~y~l(tHYIlYPm7xu!u`$s zIQh;S)KE!orS93T&QW%=9pIf}!*1o}G3UVA=5>C<wTZnJ|QVL5Q3$hFTF?RJRW;={eEDUY0Yvrm>F$XU-<()r~hcFFIoA zeth!FMKx6RLC!e1N6k1|-PWfAwM~y47m@=*izv0gBlSxz#>S4Xp3%)tOdXg-#?{b- zyyEgmwXw7J5Dd%^HCp;RW>ke;6cSXmxYP07fZz{x;OYBmI>JOQql2tXZ$04u=Y;I7 znTKivoEZp0(mN&5$X)U+dyORIB}9~dZ+RPSZ3cgeeeL!M<=6g^M|H`M`G`&(Tp0>5 z@s5=maDvH(gMWNR)0|1+)mbLndD9@d>aj!T`=CZl<}UbevPi(@M|9;_bUPvohAEDo z9C1i>Y(>!Xk1?`r77+=2bKlOhX)hF9>DH+mz2Ey7*`HhkbV++$&279A#oWtPCmfU| z^^>_NJGCC3u9vkrmcuolms_c7)ona5&X<~dHtdJ@?elU1k+SPsPvaA^?4CvKM{S;Q zk&UILVis-f9IQ%Z=w)*_FS8eRe(a$kC?#6m^#%fH>{}lZoCJpZj&ca~WxqZx7M3$% z8xYC2dz)JRc+4N+Y%Ht{Iaiizre9W#FBC-{lq$M4t}3OKqnQ=w#j*{mGyu)Drd0Ej z%}ppH+-s;-%@d1?%ID@bl$@02{-rwRGx9R)l&c&@9Ei2@C=IDAN6=WJ{xpxk`fa7q zHE0v=+}*UH6HP^_MLn4`RyZnMxvrE>t%}PWE#}e2#?FgMjcaqvWtpxnC`4@jJ8j=f zAU!pe#*MXX<6mj2WhvJJE9)GuR%UwnxUy%PrBnQwzj8RB#a~v$iNQG5UbYU&Gv#X~ z+bdjO2L8>kkK*kxAhm4Vd17*&db(f}@9PlXeP?zCG`O zSUxvb=5|VI%i+vj zudmsiFRPrwk%bCRX%6k=I9u65Yt>1rSgAY}x)*glbFiUF8TeAOuIjFvSF3PJ+ur2h zNhvq`l}<{l0=~d6k0TiB#Nxw=`brb8m5-}IY7!a^;W7I?wKczBokH){`H$MyF_|aC-3xc(w{P!UAeoR zeG%N&j(O6xan!r@&&<-D+AgR<|32SJj5-+1Yvs6~UA86Pp!gU~svD!Vt>3ksB_@k= z37wuZd$|yL2Yee+Cv1PpEv1y^M-!d|=xB^Cyu^-(H=js%Y_UxduW@}bN$g!mZ!L)7 zuj1z;$Zaf=^bd^{Cv54SuQVi2bzO|=qny2qQ0~ownTO{IozDDCY~MT z$3UK)5A5SsTiH0w=s4=QI_=mvdxqb;u5om^9<;yj<*hm2zI?mzwcRm45^9v_pMs(6 zDJo-j%0+y@xad-60`s#&L-GH-te!r(o(N_XAAS3XBV!{8#v@gEb(}G?S)8-fK7YHj zD$CGHH*mp8Ka_Xph2%me;7w_2u0v|THBUY|oHZpSL5Z?APdCEv?92_@u)9f_W`+*D zy3W`-i-)xzBmi zA6Dn{Qa$zQnl-c8&pGudyKTI^wQW3|qitO4qOF5i_0We)=IFs!=Wqi==TJ4-0}AXZ z%R@!8uzPq0^WmU&b1cq780^0}f!Fq7fzR68?woq59E5+Jh{6xNO?Nkdza9AO^w74z0Af#ip5NAxtdLBSo_8B;SCc@ICtw_L4`S-{Jgdve z(&X#1wUE0=K);OnPl|g(1*=R_2kI4HtgW ze5l9sM0~LBhSe{{Ii1&Lnx(;`TuHYT3askazcEa)U_1n$gK0f}()UeceDt3evpVlO zPmwzJt_R;v_D>{?jY6~HW2A3S6h>=iom261%lToNIK5}_JoxGR!7GOWJOqj|E>~2HSG9y(===Sja?P>pc zxqH>uT`v0NKP9K6o%xmG{T=D4X7SvvcEOXJ{6L4Fp{5R>aiWKYgidNpQ+z&cZMa0i@ka!^LBXi}gDNz^&hvZc51cwMFI=kA2~{|*csuj-E?@hrg&A`H zt4Ya)u0G+NYf$RWLVVch`asLjciM-$beltrHb<_mmUWi&+Ol*Lxb|+uLqk=JNqF6`yWf^jSAOMe|PcO9vO(TS0|Y zs-+Zu3*qleKlLw$?@a5jFLzMyM7iH+3V6fWMNMgbivT6&IYq4rc~Y2>>6Mk0jet!4 z@eYJ&eUi3B7s`&3P{ak5bL0goI#}X(N-(k@qGM%zF6Z-k(LUsY-v!4{KFm}2$;Z9t zs9R>fcOO1}-CL1KjGB%2EVBu+1H7UKZ6=;m*Z9tlX94IQ_(-`zbgBqrR=*OqlprEkhZ@VdG$C*)99@X8|D+>o}+V)dVvGxvT-P z>T|p2pWR~#9jAzDe(YtMNfW>{xs4lZH-2eabN$^MH#p@FFp;yv@9c--p~j{aKm&zQ zu#a~ zRYst6+D?o9PNGU7b@bY9~`_bX(ibWkZ!Pk+bfFndd0|~m8pwtP3 zdts3ax0au8i)zOBv|p}D2G%3LZE{v`@b7>r#iqK@X?*Yfv0`*pk}~@kLl$rc#h8DM zPHjGS*6n_!n#PO5DHSk72VnLth z$Nrw%7xrJ)YD(yLx{p#ji2SF{a3E78j T=AZHDJ!`fhPD$Y%B)0(oml7Pc literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.sim.hdb b/SLEA/db/Chronometre.sim.hdb new file mode 100644 index 0000000000000000000000000000000000000000..cd0af8e66e7ada19fff8927432066bef0ea0eeb8 GIT binary patch literal 3217 zcmV;C3~uue000233jqLb0B!(300011WpZ@6CZoX&$000000EG$w000000Neur z000000Fev;000000C)lHomp@kM;XV*2RR%$c1}C76E;rD9CoB#9ljF6u6IYW%Bx*v z57~|hLdVJySi6c=3Oo^tqTqo%x9-&2~xJbKLTQ!%`v@_7a z?veZC&d$2=6lie6C%GkI~__iR#FBX>!Qo)@s^+ zfQ2#iLD&Z_tUfSz=Z7bu2SN{o9tb@UdLZ;b=z-7!p$9?_gdVUwa9TT!-2vHC!0y0M z^;mh8hFt+gus0XxE+`L8mK1D*V%d6fUSb%rO8Ym&bER7Ok~K3q^@eOQxxyD5=_ir@ z0i>gma@8T$zUa{RJusp!+uiL%oaavgk&?33Zi_R|Jb#)i`2k0s;mET<@aJR7bCh_V z5+^9}0tn)@9|95Q$6U^Ldhcgk%_|&v6$t)(obno1@++$3Hy~s$v^UdVEDY+Y9^F|L z=lScrxZeYj4Y@)tk;(UGa|P%1&htM61yAxqPXUo8NfZlNXBp1(w*oNV0VAI1E@qO2 z-fSk3c52XYBim`YLpLH(E!{I)Dvy+Ar`uca{p^^X?LHob09UwM(yCx{4s2KqR_kl)4(RnAamc>FoYQ zI+E85h2Bh09@4tu0Z6Yx%qRNvNFpEUE2ay*$s@_MvUffRx{i|_+8?6C!<6_MB_07m z{P8W0oS@~rz?Hnnk(W5~vKsl9HMji&i1M<6oZd5h_V>EJyR){?y#lq<7zA+ z)5<4J^_1IIJN@y1oIJG-qAwAU+aHii2INxUE<&a9{kpoc zdO&5};EbCkrzdPjxsN>om;(WrgJAq`x;{!|ETVId+e$l~wTO;(TjDm$o(97fFlobXi zf%w3!M~-sjZXm2BKN)}-48VMfn==$Z%`g~$?~PDmloBNnq@~9=a*QM69GT$A zaUlLqndC~!RLOIcc%Bj`DDfT$;`{eGvKjs$TDAl6_u3Awq!kF?EouuyMFUZ>Kva7m zsv{898Hnl%MC}ShJpqce-rGR%=YCXR&&)W!Ly31Ou?ALbkm;qjCzp^%9fR3S`iP^D zn$s50VpDrpjo6X6)*{s3AU08Ar$wmukZ-k!4(0c$P7t_>)Zdm)0g&(Q89kAU=$VwG z4mGEjVE*uLhD#~2juMxFfI)r_Xv_r+q7NJ>xYef#xXB)Vs3q&*tjjoi9H9{Z|Fa9l9Xm)5TWm+?qBVEr7K zGS2K#4y|`0cQayfUt2z{C)ympU}_zmQa*CV6~5sud2%PF1qcQkfD^=K#edyt{ctRL zu>NVSz7`}?rIE@g9;LSqkB)87*1)(cA(iMC&ote@S(C)?vL?!@QmRremrB*fm0S*M@^f!mG=9Cj#*jRa%Vx5TUn@Py`Id*#Hz5n$ zG299oZi`dKGj{2xT3kLPNa~-4T!w~P`9BQ#U*uhtxMWHY-jsXwG`n2opXI8T*)GBT z{CA_hyy+2=A8*yrmV z=Q8=(avAIFbLkWI`9{aN4Dq&H<{kT7CR+Pkj?Onv@w(}KV#{ho84nlwSIf?_pgwNS zz-D>wvfQlrLc(>3Znjy|?m9{vOZYruv(_oJ`@;Igf7qT&)1L0JK*o3pGG3o=8@P2F z>j>ywiZNd1Bnh&icE0tnuXoo+<~j*7ciBwPqg$8El@hK&460+fIjMv6m(u9a;PCOG z>dfHS)UhF{1wveUkOZ0SZA;y?mOKeg#*~<{zZvV#U(3oM?v=}Wp`P5lbDX@n-rnI^ zuQs|4H`l|4)p4(WuUy5O>szn=rai`wZ))_L{I{jZI`TvEW1Sj#qxHH_d9(k#=<%B= z_qNwxjsA9W7uatNPDMv(w)m)%xoE$9YvhTrPXea^+!N?K)7^jw<_A za#MeBf2zt=`Dd|tRk)B?+dE$U#6WZ{&A1}rk*c(>{01&7P;w%)v_-Vm&!?yS<93MpTErU z+}pnM)8j2yHD9RY3stX1?PvV?`kCoR)5Q^uFrRh|_0O;W`}=LJ=l(MH>(&_Z(|9Vm zeOaG7ORseH%yS8K4!YPmEKC@8oWdcY6aBms_MOl>h6lm{MckkmL&6-RkA&V)_K>BT zWtOTOb&p>b3l_G}h1I5ZRnz3uIHV>a|7N5G%VS%(m`B;r2&!Mc?Aolnv}d0$=22dp zTTK0-Zgc)!iGHwNY>oTb)=2-A7nb~V*?HE>yLHQzmxyd`fseLc2aC&WcH@D@d5&c00|Z_mna&9f9bgObzyFlB{Y>!g%^pnD%S-xqfE1U zTI7qi2wpbvh7$*rAv8QX$B%2k0$Rc&WXt10YHv_}q z+i<1Qc>^-dZ^02=Ky7H;&u|^h9H}Am*CHmw^N<4#Mmudarr&!D%TtvP=;99m00960 D(gaHA literal 0 HcmV?d00001 diff --git a/SLEA/db/Chronometre.sim.qmsg b/SLEA/db/Chronometre.sim.qmsg new file mode 100644 index 0000000..be7ff0b --- /dev/null +++ b/SLEA/db/Chronometre.sim.qmsg @@ -0,0 +1,12 @@ +{ "Info" "IQEXE_SEPARATOR" "" "Info: *******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Simulator Quartus II " "Info: Running Quartus II Simulator" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition " "Info: Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_START_BANNER_TIME" "Wed Jan 24 16:29:11 2018 " "Info: Processing started: Wed Jan 24 16:29:11 2018" { } { } 0 0 "Processing started: %1!s!" 0 0 "" 0 -1} } { } 4 0 "Running %2!s! %1!s!" 0 0 "" 0 -1} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sim --read_settings_files=on --write_settings_files=off Chronometre -c Chronometre " "Info: Command: quartus_sim --read_settings_files=on --write_settings_files=off Chronometre -c Chronometre" { } { } 0 0 "Command: %1!s!" 0 0 "" 0 -1} +{ "Info" "ISDB_SOURCE_VECTOR_FILE_USED" "U:/SLEA/sequenceur2.vwf " "Info: Using vector source file \"U:/SLEA/sequenceur2.vwf\"" { } { } 0 0 "Using vector source file \"%1!s!\"" 0 0 "" 0 -1} +{ "Info" "ISDB_OVERWRITE_WAVEFORM_INPUTS_WITH_SIMULATION_OUTPUTS" "" "Info: Overwriting simulation input file with simulation results" { { "Info" "ISDB_SOURCE_VECTOR_FILE_BACKUP" "sequenceur2.vwf Chronometre.sim_ori.vwf " "Info: A backup of sequenceur2.vwf called Chronometre.sim_ori.vwf has been created in the db folder" { } { } 0 0 "A backup of %1!s! called %2!s! has been created in the db folder" 0 0 "" 0 -1} } { } 0 0 "Overwriting simulation input file with simulation results" 0 0 "" 0 -1} +{ "Info" "IEDS_MAX_TRANSITION_COUNT" "" "Info: Option to preserve fewer signal transitions to reduce memory requirements is enabled" { { "Info" "IEDS_MAX_TRANSITION_COUNT_EXP" "" "Info: Simulation has been partitioned into sub-simulations according to the maximum transition count determined by the engine. Transitions from memory will be flushed out to disk at the end of each sub-simulation to reduce memory requirements." { } { } 0 0 "Simulation has been partitioned into sub-simulations according to the maximum transition count determined by the engine. Transitions from memory will be flushed out to disk at the end of each sub-simulation to reduce memory requirements." 0 0 "" 0 -1} } { } 0 0 "Option to preserve fewer signal transitions to reduce memory requirements is enabled" 0 0 "" 0 -1} +{ "Warning" "WSDB_CLOCK_SENSITIVE_CHANGE" "\|sequenceur2\|inst3 10.0 ms " "Warning: Found clock-sensitive change during active clock edge at time 10.0 ms on register \"\|sequenceur2\|inst3\"" { } { } 0 0 "Found clock-sensitive change during active clock edge at time %2!s! on register \"%1!s!\"" 0 0 "" 0 -1} +{ "Info" "IEDS_SUB_SIMULATION_COUNT" "1 " "Info: Simulation partitioned into 1 sub-simulations" { } { } 0 0 "Simulation partitioned into %1!d! sub-simulations" 0 0 "" 0 -1} +{ "Info" "ISIM_SIM_SIMULATION_COVERAGE" " 100.00 % " "Info: Simulation coverage is 100.00 %" { } { } 0 0 "Simulation coverage is %1!s!" 0 0 "" 0 -1} +{ "Info" "ISIM_SIM_NUMBER_OF_TRANSITION" "57 " "Info: Number of transitions in simulation is 57" { } { } 0 0 "Number of transitions in simulation is %1!s!" 0 0 "" 0 -1} +{ "Info" "ISDB_SDB_PROMOTE_WRITE_BINARY_VECTOR" "sequenceur2.vwf " "Info: Vector file sequenceur2.vwf is saved in VWF text format. You can compress it into CVWF format in order to reduce file size. For more details please refer to the Quartus II Help." { } { } 0 0 "Vector file %1!s! is saved in VWF text format. You can compress it into CVWF format in order to reduce file size. For more details please refer to the Quartus II Help." 0 0 "" 0 -1} +{ "Info" "IQEXE_ERROR_COUNT" "Simulator 0 s 1 Quartus II " "Info: Quartus II Simulator was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "134 " "Info: Peak virtual memory: 134 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "" 0 -1} { "Info" "IQEXE_END_BANNER_TIME" "Wed Jan 24 16:29:12 2018 " "Info: Processing ended: Wed Jan 24 16:29:12 2018" { } { } 0 0 "Processing ended: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Info: Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "" 0 -1} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Info: Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "" 0 -1} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "" 0 -1} diff --git a/SLEA/db/Chronometre.sim.rdb b/SLEA/db/Chronometre.sim.rdb new file mode 100644 index 0000000000000000000000000000000000000000..8d5f98addb15be1582247892a4add6f67fce87f3 GIT binary patch literal 2310 zcmV+h3HkO9000233jqLb0B!(300011WpZ@6CZh#g50000001OBK000000EPho z0000000s#F000000C)lHSX+-9MHcRX-LRO;0ztcoMQ~ooCSor3Y?dW?%HYg|K;|Os zB%pnW%H3s8k?yWeRka5rr2OYaLa@6KzEjn1_sp~hNI>ENOKq2{>RjqO*Xl=)9zFWL zdLn#QqL=YKP+6G@r*uvq%R(E+OU=*9AMo!x(ET6J|L|b^5I+xBqGz#E(QDJB;ql5j zeDQvM{)>lY^Y~jlUwiuJvE!DUh?MrUQ?X>S=4a(UPeoB*7c-+KhmJ1xFH!HxmEPKB zw|j-QQYVd|zBbU>AE5K8E)A7dk{-7#|J_m|t(xTIv`+0RrFx=b8kc#@$G6lGc|zJ9 zN<&tU-H|Yoc&ggYL**=O?H(LZA&kqhG4SZrOjbRG$Y-QWSCo#%DwUSTMrT;T?3f^c z#@fh`VWnm%D?9xI;M_8+4C7ets(Q%o{+yz^L zPUVo2g};0h`FUZawdss(Ir>b-jyg_zZ2)9uz2dRX6BW>?G_7Dh2s+U%D3}A`&Y&FG z*r>vL&ep_8$--CXxbMQOFpZ?03f=`}V>7f2c#EP)RV+rSB>Z{_V!^XUXq7rg${hk) zY|B(Q>nhG59L?s&SAZLg8D^`&uqfdn!6`aTXoKkj=9V&%mtzsT(g4fRY<>VJAnAiC-U&xt(5J z*olkMT``rM$GoHd?f6=z6M41HFe3FV@bzarRz4_Y9?Q~fu1}A~aL(wjcy3?a7~I<4 z+BmU{6?We9_-PcxzMi7QOitG&4w89koBw|0OIm{i-2dj@O}cH{IDo-1m&Jdt!ErC$ zMZO00sUT&H`iDm6I+M=Gb(Dzp2brDx5%bS@J}>c(O8wtb6tV^TIxAA?B=t7BYj;Fi zN>uR$(w|_<(AUTm*^i5$^MwIPwn)Xy&MPZ7D>meQrzaC+`jK=;Qs&h2wX91?X_$Ob zK-ab-k)^UBrUCT(_m?}ZF; zsz%VB$dCe2{K z>45tc!pAf1(%Vt=24;rZ@ui{6Mrg3ms1A+DZRlG=U-Zv^9m9eP$@@l%`vrP#2YK8! zb%R-UM5a~-%J_b@7f0a| zxj%-mdcdhaTrr!><2v)7Wcm*FUk^E-;zr4!sV^CGLbTc8+Io`yVuL=b)94crch`O* z@dcKQ0*5P>m98v&IXdK91RI>DN{1VFD@<&$ig=PL7awwb)F9S_3LRe=!If)7B7J#8 zatNM=K%3v~+c>-lK{q5Wv7C4YxECX&wr~}mavs~kwY80Cqe*n9f8B5N*O&u+B+UUd zAU~ol@SA-h@m`?TxgK0%E=B{HQE4eY6nQRF3)gLWM&K4hz-=00iQP^ZWsyGk-Wl$cg^bl$ zp@u9X{pQ}m?cu%c>o>MTbT|M18*Jgm-cV!zo%&65i-g;Lo5xq-gLwJA)6YS zu&1@V7-z37#5T77Ek)jUdOgTW}-qOmEpw(H{z%30oS5H zFXZ!|KPNfCieO65 z19>px{GGg9okKLwu5RN3tC<5P85kshG&>MW0x`(Q;80&*$Dl~4(GXoJZeLqi7(mY0 z0>mOvmEn$Iu5SK8zVQ%6{r3%yvw;=eK~m)E>*VU<;_3obbk{I7iXE(I4^R=zPKY;x zLxMd0++n^kjWG}BV3-V4#RJ5GK#cG(#KQOo241Y-VA+7A!pGI!(FbZ + + + + + + + + + diff --git a/SLEA/sequenceur2.bdf b/SLEA/sequenceur2.bdf new file mode 100644 index 0000000..2d7add8 --- /dev/null +++ b/SLEA/sequenceur2.bdf @@ -0,0 +1,333 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +//#pragma file_not_in_maxplusii_format +(header "graphic" (version "1.3")) +(pin + (input) + (rect 176 56 344 72) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "sbp1" (rect 5 0 28 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 176 96 344 112) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "sbp2" (rect 5 0 28 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 360 240 528 256) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "H" (rect 5 0 13 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 92 12)(pt 117 12)(line_width 1)) + (line (pt 92 4)(pt 117 4)(line_width 1)) + (line (pt 121 8)(pt 168 8)(line_width 1)) + (line (pt 92 12)(pt 92 4)(line_width 1)) + (line (pt 117 4)(pt 121 8)(line_width 1)) + (line (pt 117 12)(pt 121 8)(line_width 1)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 752 184 928 200) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "COUNT" (rect 90 0 128 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(pin + (output) + (rect 528 56 704 72) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "RESET" (rect 90 0 125 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)(line_width 1)) + (line (pt 52 4)(pt 78 4)(line_width 1)) + (line (pt 52 12)(pt 78 12)(line_width 1)) + (line (pt 52 12)(pt 52 4)(line_width 1)) + (line (pt 78 4)(pt 82 8)(line_width 1)) + (line (pt 82 8)(pt 78 12)(line_width 1)) + (line (pt 78 12)(pt 82 8)(line_width 1)) + ) +) +(symbol + (rect 408 48 456 80) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst" (rect 3 21 20 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)(line_width 1)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)(line_width 1)) + ) + (drawing + (line (pt 13 25)(pt 13 7)(line_width 1)) + (line (pt 13 7)(pt 31 16)(line_width 1)) + (line (pt 13 25)(pt 31 16)(line_width 1)) + (circle (rect 31 12 39 20)(line_width 1)) + ) +) +(symbol + (rect 416 176 480 224) + (text "XOR" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst1" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 11 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 11 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 49 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 13)(pt 25 13)(line_width 1)) + (line (pt 14 36)(pt 25 36)(line_width 1)) + (arc (pt 7 29)(pt 7 19)(rect -14 8 19 41)(line_width 1)) + (arc (pt 49 24)(pt 25 13)(rect -6 13 57 76)(line_width 1)) + (arc (pt 25 35)(pt 49 24)(rect -6 -27 57 36)(line_width 1)) + (arc (pt 8 36)(pt 8 12)(rect -21 7 14 42)(line_width 1)) + ) +) +(symbol + (rect 504 168 568 216) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst2" (rect 3 37 26 49)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)(line_width 1)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 14 12)(pt 30 12)(line_width 1)) + (line (pt 14 37)(pt 31 37)(line_width 1)) + (line (pt 14 12)(pt 14 37)(line_width 1)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)(line_width 1)) + ) +) +(symbol + (rect 592 168 656 248) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst3" (rect 3 68 26 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)(line_width 1)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)(line_width 1)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)(line_width 1)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)(line_width 1)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)(line_width 1)) + ) + (drawing + (line (pt 12 12)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 52 68)(line_width 1)) + (line (pt 52 68)(pt 52 12)(line_width 1)) + (line (pt 12 68)(pt 12 12)(line_width 1)) + (line (pt 19 40)(pt 12 47)(line_width 1)) + (line (pt 12 32)(pt 20 40)(line_width 1)) + (circle (rect 28 4 36 12)(line_width 1)) + (circle (rect 28 68 36 76)(line_width 1)) + ) +) +(symbol + (rect 696 176 744 208) + (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6))) + (text "inst4" (rect 3 21 26 33)(font "Arial" )) + (port + (pt 0 16) + (input) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 13 16)(line_width 1)) + ) + (port + (pt 48 16) + (output) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible)) + (line (pt 39 16)(pt 48 16)(line_width 1)) + ) + (drawing + (line (pt 13 25)(pt 13 7)(line_width 1)) + (line (pt 13 7)(pt 31 16)(line_width 1)) + (line (pt 13 25)(pt 31 16)(line_width 1)) + (circle (rect 31 12 39 20)(line_width 1)) + ) +) +(connector + (pt 344 64) + (pt 408 64) +) +(connector + (pt 416 208) + (pt 344 208) +) +(connector + (pt 344 104) + (pt 344 208) +) +(connector + (text "y" (rect 384 176 391 188)(font "Arial" )) + (pt 416 192) + (pt 376 192) +) +(connector + (pt 480 200) + (pt 504 200) +) +(connector + (pt 480 184) + (pt 504 184) +) +(connector + (pt 480 184) + (pt 480 64) +) +(connector + (pt 592 192) + (pt 568 192) +) +(connector + (pt 456 64) + (pt 480 64) +) +(connector + (pt 480 64) + (pt 528 64) +) +(connector + (pt 552 248) + (pt 552 208) +) +(connector + (text "<<__$DEF_ALIAS164>>" (rect 576 192 694 204)(font "Arial" )(invisible)) + (pt 592 208) + (pt 552 208) +) +(connector + (text "<<__$DEF_ALIAS166>>" (rect 536 232 654 244)(font "Arial" )(invisible)) + (pt 552 248) + (pt 528 248) +) +(connector + (text "y" (rect 664 176 671 188)(font "Arial" )) + (pt 656 192) + (pt 696 192) +) +(connector + (pt 744 192) + (pt 752 192) +) +(junction (pt 480 64)) diff --git a/SLEA/sequenceur2.bsf b/SLEA/sequenceur2.bsf new file mode 100644 index 0000000..774df4a --- /dev/null +++ b/SLEA/sequenceur2.bsf @@ -0,0 +1,64 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ +(header "symbol" (version "1.1")) +(symbol + (rect 16 16 136 112) + (text "sequenceur2" (rect 5 0 80 14)(font "Arial" (font_size 8))) + (text "inst" (rect 8 80 25 92)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "sbp1" (rect 0 0 28 14)(font "Arial" (font_size 8))) + (text "sbp1" (rect 21 27 49 41)(font "Arial" (font_size 8))) + (line (pt 0 32)(pt 16 32)(line_width 1)) + ) + (port + (pt 0 48) + (input) + (text "sbp2" (rect 0 0 28 14)(font "Arial" (font_size 8))) + (text "sbp2" (rect 21 43 49 57)(font "Arial" (font_size 8))) + (line (pt 0 48)(pt 16 48)(line_width 1)) + ) + (port + (pt 0 64) + (input) + (text "H" (rect 0 0 8 14)(font "Arial" (font_size 8))) + (text "H" (rect 21 59 29 73)(font "Arial" (font_size 8))) + (line (pt 0 64)(pt 16 64)(line_width 1)) + ) + (port + (pt 120 32) + (output) + (text "COUNT" (rect 0 0 41 14)(font "Arial" (font_size 8))) + (text "COUNT" (rect 58 27 99 41)(font "Arial" (font_size 8))) + (line (pt 120 32)(pt 104 32)(line_width 1)) + ) + (port + (pt 120 48) + (output) + (text "RESET" (rect 0 0 37 14)(font "Arial" (font_size 8))) + (text "RESET" (rect 62 43 99 57)(font "Arial" (font_size 8))) + (line (pt 120 48)(pt 104 48)(line_width 1)) + ) + (drawing + (rectangle (rect 16 16 104 80)(line_width 1)) + ) +) diff --git a/SLEA/sequenceur2.vwf b/SLEA/sequenceur2.vwf new file mode 100644 index 0000000..d39db8b --- /dev/null +++ b/SLEA/sequenceur2.vwf @@ -0,0 +1,213 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ + +/* +Copyright (C) 1991-2009 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. +*/ + +HEADER +{ + VERSION = 1; + TIME_UNIT = ns; + DATA_OFFSET = 0.0; + DATA_DURATION = 100000000.0; + SIMULATION_TIME = 100000000.0; + GRID_PHASE = 0.0; + GRID_PERIOD = 5000000.0; + GRID_DUTY_CYCLE = 50; +} + +SIGNAL("COUNT") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("H") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("RESET") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = OUTPUT; + PARENT = ""; +} + +SIGNAL("sbp1") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +SIGNAL("sbp2") +{ + VALUE_TYPE = NINE_LEVEL_BIT; + SIGNAL_TYPE = SINGLE_BIT; + WIDTH = 1; + LSB_INDEX = -1; + DIRECTION = INPUT; + PARENT = ""; +} + +TRANSITION_LIST("COUNT") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 10000000.0; + LEVEL 0 FOR 20000000.0; + LEVEL 1 FOR 20000000.0; + LEVEL 0 FOR 20000000.0; + LEVEL 1 FOR 30000000.0; + } +} + +TRANSITION_LIST("H") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 5000000.0; + LEVEL 0 FOR 5000000.0; + LEVEL 1 FOR 5000000.0; + LEVEL 0 FOR 5000000.0; + LEVEL 1 FOR 5000000.0; + LEVEL 0 FOR 5000000.0; + LEVEL 1 FOR 5000000.0; + LEVEL 0 FOR 5000000.0; + LEVEL 1 FOR 5000000.0; + LEVEL 0 FOR 5000000.0; + LEVEL 1 FOR 5000000.0; + LEVEL 0 FOR 5000000.0; + LEVEL 1 FOR 5000000.0; + LEVEL 0 FOR 5000000.0; + LEVEL 1 FOR 5000000.0; + LEVEL 0 FOR 5000000.0; + LEVEL 1 FOR 5000000.0; + LEVEL 0 FOR 5000000.0; + LEVEL 1 FOR 5000000.0; + LEVEL 0 FOR 5000000.0; + } +} + +TRANSITION_LIST("RESET") +{ + NODE + { + REPEAT = 1; + LEVEL 1 FOR 70000000.0; + LEVEL 0 FOR 10000000.0; + LEVEL 1 FOR 20000000.0; + } +} + +TRANSITION_LIST("sbp1") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 70000000.0; + LEVEL 1 FOR 10000000.0; + LEVEL 0 FOR 20000000.0; + } +} + +TRANSITION_LIST("sbp2") +{ + NODE + { + REPEAT = 1; + LEVEL 0 FOR 10000000.0; + LEVEL 1 FOR 10000000.0; + LEVEL 0 FOR 10000000.0; + LEVEL 1 FOR 10000000.0; + LEVEL 0 FOR 10000000.0; + LEVEL 1 FOR 10000000.0; + LEVEL 0 FOR 40000000.0; + } +} + +DISPLAY_LINE +{ + CHANNEL = "COUNT"; + EXPAND_STATUS = COLLAPSED; + RADIX = ASCII; + TREE_INDEX = 0; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "H"; + EXPAND_STATUS = COLLAPSED; + RADIX = ASCII; + TREE_INDEX = 1; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "RESET"; + EXPAND_STATUS = COLLAPSED; + RADIX = ASCII; + TREE_INDEX = 2; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "sbp1"; + EXPAND_STATUS = COLLAPSED; + RADIX = ASCII; + TREE_INDEX = 3; + TREE_LEVEL = 0; +} + +DISPLAY_LINE +{ + CHANNEL = "sbp2"; + EXPAND_STATUS = COLLAPSED; + RADIX = ASCII; + TREE_INDEX = 4; + TREE_LEVEL = 0; +} + +TIME_BAR +{ + TIME = 27800; + MASTER = TRUE; +} +; diff --git a/SLEA/serv_req_info.txt b/SLEA/serv_req_info.txt new file mode 100644 index 0000000..92c9af9 --- /dev/null +++ b/SLEA/serv_req_info.txt @@ -0,0 +1,60 @@ + + quartus.exe + FLOW + /quartus/sys/flow/flow_smart.cpp + 347 + + 0x05c2f1da: sys_flow + 0x2f1da (flow_execute_tcl + 0xba5a) + + m_new_cap_inst != 0 + Wed Dec 20 16:34:25 2017 + Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + m_new_cap_inst != 0 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + + + quartus.exe + FLOW + /quartus/sys/flow/flow_smart.cpp + 347 + + 0x05c2f1da: sys_flow + 0x2f1da (flow_execute_tcl + 0xba5a) + + m_new_cap_inst != 0 + Wed Dec 20 16:49:21 2017 + Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + m_new_cap_inst != 0 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + + + quartus.exe + FLOW + /quartus/sys/flow/flow_smart.cpp + 347 + + 0x05c2f1da: sys_flow + 0x2f1da (flow_execute_tcl + 0xba5a) + + m_new_cap_inst != 0 + Wed Dec 20 16:54:05 2017 + Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + m_new_cap_inst != 0 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + + + quartus.exe + FLOW + /quartus/sys/flow/flow_smart.cpp + 347 + + 0x05c2f1da: sys_flow + 0x2f1da (flow_execute_tcl + 0xba5a) + + m_new_cap_inst != 0 + Wed Dec 20 16:55:04 2017 + Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + m_new_cap_inst != 0 +Quartus II Version 9.0 Build 235 06/17/2009 Service Pack 2 SJ Web Edition + + diff --git a/SLEA/undo_redo.txt b/SLEA/undo_redo.txt new file mode 100644 index 0000000..25b2d4f --- /dev/null +++ b/SLEA/undo_redo.txt @@ -0,0 +1,111 @@ +GED + + Undo Commands + 1. Move + 2. Move + 3. Properties + 4. Properties + 5. Insert Node + 6. Resize + 7. Resize + 8. Insert Node + 9. Move + 10. Paste + 11. Delete + 12. Delete + 13. Delete + 14. Delete + 15. Move + 16. Move + 17. Paste + 18. Properties + 19. Insert Node + 20. Properties + 21. Insert Node + 22. Properties + 23. Insert Node + 24. Properties + 25. Insert Node + 26. Properties + 27. Insert Node + 28. Properties + 29. Insert Node + 30. Delete Insert + 31. Properties + 32. Insert Node + 33. Properties + 34. Insert Node + 35. Properties + 36. Insert Node + 37. Properties + 38. Insert Node + 39. Properties + 40. Insert Node + 41. Properties + 42. Insert Node + 43. Properties + 44. Insert Node + 45. Properties + 46. Insert Node + 47. Move + 48. Move + 49. Insert Node + 50. Insert Node + 51. Move + 52. Move + 53. Move + 54. Move + 55. Move + 56. Move + 57. Properties + 58. Insert Node + 59. Move + 60. Insert Node + 61. Insert Node + 62. Move + 63. Properties + 64. Insert Node + 65. Move + 66. Move + 67. Resize + 68. Insert Node + 69. Resize + 70. Insert Node + 71. Resize + 72. Insert Node + 73. Resize + 74. Insert Node + 75. Move + 76. Move + 77. Move + 78. Resize + 79. Insert Node + 80. Resize + 81. Insert Node + 82. Resize + 83. Insert Node + 84. Resize + 85. Insert Node + 86. Delete + 87. Properties + 88. Insert Node + 89. Insert Symbol + 90. Insert Symbol + 91. Move + 92. Resize + 93. Insert Node + 94. Delete + 95. Delete + 96. Move + 97. Insert Node + 98. Insert Symbol + 99. Insert Node + 100. Delete + + +RPW + + Undo Commands + 1. ||Compilation Report||Flow Summary + + diff --git a/compte_rendu.pdf b/compte_rendu.pdf index 7061c7b0be9cc256683b0cede0f3ad9e696bd2fa..bba6f8eccce563df13d20a51fc51900957bb30a5 100644 GIT binary patch delta 94508 zcmY(~Q*>m}wlLt>wrv|7t7F@?txhVoRY8Xxt7F@C$4SRY$2R^t=iZ0^K70?g<`^~h z*n8I;bJd({`JxLd;<^_qi3h+dER5jp;bv*-h~Tpxo-F1(z=jll^@c5s6P?W`oB9lg z;gH?N=BMv%qJpL`UkFk9@z&0)AR(SzIbnN$e)WKlB3f`jeofG2j)XLcfpvTJcI$Je z>%0TTP5@iK3?2`DhUJG^oy@$%Uu{Z*S%S#JCTGe<&IbR@E2*}>vIUU7O3qnawL-@! zIl9PjHJmNsQ8T<}h$5@;C)T{VhPBmI`)Ki|?kPifkndykG#(Y%Vt#cw@&*T6?7UjK zXnD-0b26^6qz>uDMrMfv2J^0rm4*YaNh8r=z2~K++6(ueahPIK=p5lR7bm>thX5ou z@2;W7%Zq^ex2$^^*Cqg+@Rb5G#*XHf$GS!hm6iCc*#sGFZpETegPZCg^Q~p?TUmf% zx~BJ%T$OzUY?3A4bBYi&m2M&vVofkc_0S?xj{z1N@UX>Hj|@X+A-qB*dLngTN57{f z1pRZ=Y$erg`^eKh84fs*F5v$R?i8D{i(u(w@xOQ6(g`S>9uJ$^4jv8Q{Xb8lTT9V- zi4Cp$j`7E!zYM{~iy%Y^2ApJ}Dr;J3&O^)hm(_2wC7=Eyj}{`Ul1xN%ayjRGZ`)IM zUD4y1rc@!Ql=!r|dSSj|l@VRcCOJt6@T7{+=Q;$uefAHxUIaBUK&9OrAz~_Z z(D83Hh%phoq=df+~kqB3aO@gt6KOOTmOW&CbjSMV{ z;bJw~)@cz?!r7j%^r4|m{>p6G$Nog@Ao*zitp(`&w6P_yl^_C|t$WlM5*`dn{+vlm zy=>!S;j88KPDU$0heKk^Tc|+co!IYK{}HFo?X%Fs89K7{j9JL4eBv~z`5dz)}waSso!^jdJVY-B>r+MMDL$oM-D3a z)-eFWg^Aaa(XB6Bu6(nj`C7#%6N^QrC>pEHCdkITi3I(xJ}?tavG}DVipS_?afOxN zqsuva+d_ZiB_e~FVP1O{kCrIMbxFom-*A=fh|zu9`OjU{QGhF?U#}|hN@ZFn&||9Ge`Hml_|YinYxL6gY}-M<{* ztOc!m7Wh5X(lz$()!Z)8exq!m2eRuzz}ZROG3aTHWk1E=QuONnZ8-}==8jE?4SaPd z>+(jKNGq#gIk7IMa|PDOG;M!!Vg)zc^#>BE$+Zij+WF|>dji;VmpF+N0IWS%iH!Em zB!FuVerRpe!*OMGo=w3zf>O*3*WZ}%)m^8h|Gqb1uL_!2kMyQjg&IzA@ zbNn~V$qowBfEG~GIW(9Wr$wVMfH!an)Btu)PM-fi_r+_=J8$x!^*m``YcZqEQ_!pK z%~zO{g$HxhIeVfuWO!ws)-Mmreg#Aax-XIelIyBGw%gbpst5ApZ39lumWjDw; zn4QqwYmU1Tf$!90=AFod4Xqt=7^8je^kq$PXIPmg*R%YglrbLL2%vNvQ_$$_y$rNg zvtdC@id(sII^imry_k-a2mIU9vyi4PV)CUKNY!6K4rps)m(wN2W$Hh+VZkvR{9nDA5vzC%>?3Jc_%;?Ug#nxS29a!f>waDmJ~zJL z)*1hZKVmx1rmx-L-~8_j@x3alMNcv2o8ex7d&kC5D5B6H)e)U;YKlX- zP_Pn6R;O##bZR&@=i)Ewll?)s@tBPop{>#D*Z5;8PWyE3XK!qpnYE5bH4ze1ePp3%_Ycvx5SqiQw~fJ?>mx&{vZg zCeQVFP5J+fD=fv}T~Sn*xXzB;7HSfk zhZ;WeH1e2^q+aTRUesbGmw~V+l;B07Dbb56iWK>9n~RfcKSJ*5VKeH=Kc(U@ zSmU2=-88WCt0=ULsx}O%()zV!9>;m2fm?$ZIlk^jBmqZjUc7p9`^jOFrD4!J*VrDc z+UXi_1Z-yfSx!|6uO!_=A(~@H$0H_JZsGocM&JI`oJaGt^!tNhRIrdMnz(Z;fj#(R`8F^G##uE7lZ$ZUD)eLwq^!b#+$erdladYtYbNZNS?r^sM3PH|7vKI< z$dc&mlMUz0m>(CsXC60S+;FO7^1hPb1J3^-C;Gu4uu!^xXBlgC#f4is=YW@?f8Ji) zG#eQ@$jNWPUbo_`Ys;UJpCFY#aVAJWp2Nomb#If|I1VoANO0zifv-S!C_LY%O^IZv zfGa7peDGyL&-@AO7|j!IW9ModaB*FjUhi;L8KIwBN$3<4{kazbTkxQ__Thu~y^%A) zp=|1oN$vdtUCP&7{&|ub5zsNznGblu1(e820OuVOu>O;xnHxWJP$3{V|0g|j@-+7A zD>FfG^8HWx7LF=`;N%C^+o)56*8Y>aQ-!188wI2Pprp>~ur`WjVnd`N>u;rsW+FF= zVqio2 zkZXvTOyT(^Sr%EIg5D1GrXsUz)7|C?0#&Q;S&HGia%?p|Ge?tuF)L`*OEhk+Rh2C= zx-xpGBNU{BU(7!lQwQ8^MLA<;t(yc&X7|r8)zLcIasTy4jdA3~_y7*-H@Q5q2>2=7 zFB|CG0=`EcR>W>_e!YIC$+?T55708p+D39|rGrkPdj?$f-enjqEXHi=_&lz!jwQB;T&S6g_t&N<_LHH0=#V z&H)cfk{X@N-zYM)rNUoh6EOE5D_0+CNQc%x{m8VF|Lw_&_~5NfTQJ;N)NiQty(Qaw zHl7V^mEH7+Bh@pW9hVX}{JAjms;t_h*Ysjf=F#=vo1ltS3*=q}`^}0KxwL81WbIQM zTGDA2Y`uI^DhsgkZk1WB=UKPSv;Af4Pmy8S8m=EQBp}NKhCeXV>T*b@rS->2eOc-X zIC!}`*1&8$>E~JG8@#4>j=CA}!HmfPh_m{zZ@-SLvqi{(2fqBcqsH;j#k|pav@eRm z8?@47Nm`Pfh{hIRokKtD@C+3TJfj=x=RQ~&?g`J6jRyQ3MTM3&-KV^+SCbb{6V)Au zE*1DgM3751Ob>yXGuXT9!VJGdCkch&i7pd{K@=qUjjtF$BzuoaCYuN8kf$z$@`r;( zVpu{{eArrIcths~m(gt^9oi*5g3#N>6Yh|aWQ4{+p3d(gT0hNGJEb4EWwMrPDL2Hv zEL&dM@&MT4S+Y=P{Wq4n@GmQ`$VqE;gxE1v>0Y!M(z(smuX6GR@7~;`_(%&Q7TeiI z7;I$Qxg`okcJUL|W@!dW;a+BaXl1MRe4nC#5~J)SDsqg-Wt@n1TvyCEPT-JGJ3^SR zxV|Uaku6AHbjVG`PWl9YMVUdJSQfXlH##~aG5`Pohi-L*1oJl#;mY3%6c+e7OELO< zv|0WUq_tVHyOqR|CFew?uwg1H0q74a9$5eq$_ucV7TMzcS$Oxi9$(dk5s(j9)#Yej zZKRw|w1#n3P!g^UPyGHnm=-~3*`c~l*;BRKTc?)ijkJWjli?9T;WbwzxLvaDyBgIW znOXqlGKW(8Q5r>Tq95UqV*L(d2fbk6f(XEm zWf@Fp2^eL^S}&Y72Hi_K6YR1>CxLojbk1{#Y5pZox(W0#iKL`=$;N>%GT0GLFk?wa zH|)fgq=B!nX64Ewsq;~o;N1U7l8mVY^QfuPv(Kq(<4CFUbLHUN|EXac3Fe1!A-H%$ zcTXaBPryMSKp;V&K%hZjKwv@OK;S_TKoCKYK#)ODKu|%@K+r)jKrlhDK(Ik@KyX3u zK=45bKnOvIK!`y|KuAHzK*&KTKqx_|K&U}zKxjeeT6a(AeR3hV_)>RI0wPx<7(f_7 zm_V38SU^}o*g)7pI6yc-xInl;ctChT_(1qUzJUmU2!aTK2!n`#h=PcLh=WLgNPXqhgbn#vtof5I zs*a>tMSh#Wo~VZz&sG?$w1ks|SP}sUbp{5CVd&5sVjh3~(1>F}OY&BM!kv?ab+~P3 z-d$YIV1n@(GSQNHH7ObI8qcb6cXn#&30Pf;1pAaa!;FB0WH+D(SQtiyKCKWAO4`&U z$SdgUc8+n;cSpB_MnRW7Ej|$@ zXKu!ywTfrQ(fr-xuorf@6_WPiome~1h>ul!i~YT&w|5GG-9Rn`Yl=JTYOM- z^4Ca^?hQAT5Pa}3<=XL=dX%&J^%C$0Y{VjMj8ICtR_D1>+r@5y;m;2kADIUMVDNha zCXihLw3p-J<6-~*_i`6RYbivn7edDD&I0<)Tf18pCGRHuUTV>X^>*pB&LrPCYyR-L zS0B~=>P79#379HO@0LxM8}$e!0}CO83$aiZRyYakBmHK(TC7^SY{g-$Y}B3gej~ui z-mLoL_GqiPsNu+i!l#DT8An7hs|`@Ycf6Qyouj|30MKm_fHes_-2imIY=o(5a>A#f ze@s8sHo1vB{RO-=OTF%Ur%}-~+1iN*q`w{db>7HiktwW{-q3kNa%m{Wv3~Y^9PUG! z-gfHC{qbmdZI$|ZoIV&VKKia47+*s%<|TwK_ebq*<xW_ zm;H%HtKlUO)gZ+a5n03Tm1~1I!x1e}39lkOHBo_q#%}-2PH1a7BhqUtgkbs}teHBW z#VLXC=^iI=%)?*Mfmu``--)qrgXHP$!fj;*Wz4qtuprCw<^ggS;uL|QEOz-Tr1*Yr z26fdMLZh)GOGtMFa3Vvi&ajQ-@-qFc9FtjtYbJI+GgIP1e3p^z-vTA1n1LL~(#8?WAtl$9EgzjLyj zN1b-bWIUS}19G1Ln^Ldi?oDJ7aDuBNr-@!81Zt&eO)0-P`Kxx9yoa z8`s7Fe;eM(Tt+=#EWqqQB78EcttR0m>_rvn73`GWvYda|y6Ri05K$LhV4bc@rwE@3 zm!z}0?;ycnHk5$N*zK9eyZwoH9Xz*cEiT?-kx--fpTarvtw zbVx%{uln#Psd=OlXZv)gif$lX=26y>d^B8VtP~pX(*_uj0-ucH%C>l}BzIv65T56w zAGR3=ka3yv1prlz@ro=Y1`U<`v&f}H5`4$}%>9FYMH{<|NRYPus~?ZdSpKZAqNaymBFS02|Y>Q>$0kAfXyhOziWR*sK(8G;lgRr8z8K z-P4U24D-?_(k+k3Q);cJi3BCc5cg9k?FqzM0!F^6P=JvOoovW45xxynQD*JJc^qjG zQDwH?4%K|nGm%6tDkj}C;^!0Gm#GCAIakyU>ZkJ|-7~5F)q`wDDE8Qab87x4vA#QwEw8CD4|e8hKwzR)7w8G3^iKmD_Z{sdw@Kp^GL|2 zL;+MDK(u{^tFu8^Wt=a@4@Kd+_RfdI8!Yh|_LDzF;g{>8ukrqHeIxT|@B7w^d=h}Op)Zt1LXnR6(sK&iB z$fk)2H2ljq8b00Nde{7NO6%$R^)1b_2Ihp>fJffq+FG=wg9N9 z&rUiFDdT5(m4gp{p4bP+?3LR%#HW(PcL-yK=VxFQD`@^|6KNCN%EfICXjstdMoO8@ z_6f}6sLuLfZo+SgyHp^j5Fps$Db$7EigS8cR6RpCseP7a{nC&N*~X2!vo@TKU{4|^ zjbk6Pr0`RhcEC?*IIZH4qqja9;|JjW0z4tsvOze#axlr=B8 zKOs^%*K=KT5sV03+)^CLR(3^=ihPFtbgQ5!u1iNX-Jui^@`r~hFA^%^Up1ic_>Y&K zJ^rA8wV2dpAuBmdPM5i?6zfpf^i~paU<$d#Jz*w+S}e<@jXGB99Bialv$qmtE3(2R zmjT4wH{D}HH`cvHuKxIIbY6(%Ib|c8Dp8eY@l@g5PMNa{H0_sio^V~$hHeLty7lNNHhSM1@g@jb+AiTlsZ4Vm-}ageL*(L_~@$CdChT7{#H(K zP30ve!3P0epcqofM<4sXx26AIq0a7>No+}n>}xY{G?Q>svzS79(FifL%{dO!G;rpR zOaCJEIskj9y&X)y6{TIEBkcRj*W6BD4ZO||+Y5Tb#qHit(iUJSWf)-luuaIt(%o33 zvwhrEx)tSDbqGrmfq2K}ioizMHgI3$$K@{wSkbVo2s<6K_ir!sG=6mDp-O=x^qBQ2sg#(BEn67;yc7;Q zQE~(DR&`fsb*y;D|5*3AH;RjXm{D#mbt7%4^advj3T?ZcHYez?+Pq})~LOtYINrS{~T`wdw!tOV|UMX?h4JHRBG zkdGaI-=()?3W!KC?O~c#F&jpe&NtOrAGG_4J=zVEAm-w54~5$B44GfX0l&Z%f9u?5 zEE6Jkt?#;P_@@J)sxa~wg1u*|fAOBJk|epZJU$)6Z3zE+t(#Fmns6>l)sxii!6(Pl z{Nk~Di;rEX5>)C%S+4YWlM9Iz7r!ja4N3QrhnDKZk_Mb0^+6NX-(T2$1Jd~Agw1E_ z0$p{`nW9{6$u!{MjO7m(f@Ckd(=lv(-%zWExfg7qM%Z8k=m+NSTXa2XTy? zvX>f%kU*3i8A`@e#wS$G^HM&#*jh#B_C%REyC600zQ%nfGazkivbT%hWVaL)3L1gc zOOJxdEv_f=f(gqzZyn|%|2dRDECg%_;csr*23KA91oGyP@s@?=kmnSu0=VFE2`;wr z{cQ2YbzAw}Y%OnTF~99V0{h-9ROQeJ@U!*Q=?9 zHfPJ({J^f(;OF1{b;@GZ6k!6QAu%Ng5zKiBY+wffq5 j}S0f%h__#9|}IEVgy{y z`?9j-;*;>bwFT;_0qh0V=K?_=bb>{SOU*EnBB|iA{-7?>6shSglE4a$9+?GfXt2(P z&`vVBKAkORbPp6Jcs4@bR5`(eWPP!IP8MtFeH;<-pyQofAHhY50)5WB$tgEXbqQw) zeKZ`vCxxM3)Qo~4cUobF)(3p5Tc2w&#a%{Y6?-7ri&8-|IG=c-F|ZnT(;jvIZHQZusrMU3-n4tS%9#LnMm< zA8k28-A}VxmQkb{UdKBUk$)g4@-!zcqpbf#Tp12x8X4eT&M_u0UTh3<Yw zu6O9SMuzrooytS>`v5bZJDb4nylwpfyf)U-*4{ZKolZpC6>s=$()L%j9Sbj`FB5-P zr>>^=tor5wmgK#>u}2qw7rJ%5+ZW@({kx6A&mPJWXgM(@8+ilyvu56@J(X%Qjyp>P z3S>5u?Vg3EwrhX9$>1e4;U!qS?$m7}`nPqvD_@L!kf2WfaU(^>9flio2PFCc9#?UQ zWcq{k_C0wCaV~4Q`$RiQR@%W+e!MYm*QZ|FxqSUBC*$ruV5=k&GDO6irXu?h zo^rNp-tE)PO%(gVmsEuLn5|ME85ve1WoC&AT_q+5BjSKgpWIK`k6)5Z*}q%PXQX}= z=q$0gzTF;jz3%j>YRZ`oz9ZZYNH*ViGuhFikLcSq+{?4X&BD;!KPRrIB$K`>5u<0> za$srg7urzP=FsG>euWr;L*CMKt|iidN%uvBFsbVo0|rfN*2=@;&6gat=@}h&q}wU* z>-nb>ybBLDzsY~p!cX1xFtq2~5_B+SVsaVQDp#<$jd{>Zr<+{#A;O7oU^7Q)4OyFMI=DdQ*mN(<1Y zC$pDXBU8H4HFFAdoPP2t#Ns1R{|A z`i##%eovztNE=fg=>rA=K7u*@ov5H;a@WdZe zJseYPf@6viX&qw&&F7TN^%1TVL?N+#9E$jjrrc`e`N2oYt#_IML+#bJskZbG9eNCx zUcrGXsWug6L*VXRRHbec;4U$45}Q+*bWgd+D~}R7_=jL)bk?hn2ucYT;AIDFn~rug z{FnZ21uyg&stG~l{6#krd{lZ@CPWxD+zM0UdCK89Q&H=ImgM0$ltyZK2#7`4P?zIM zO0LHcM=|o5RjE^e&_crMYEs|2El*xR3Dmso0j=`fYSJ^j_2D%#4IB1U^ zJcr?Ek_4s+7SglbFe49NSRpSp(JPPrOnoqq8MoFQF!Njh5kyVb%As$o3r~`u5RbSw z>}9*ySfkT!Hr->GrQ}go#n>I_LusSyujoxq#*}?0@`qm_!v}KnO<}}y0DEB+!Y@IYi;e|nbzxhK- zC-Nfi4p+bs^N^;P3*vBP!QXM8Q9bM2xRdN$cf=U+0%zd>hpSQqNV_9VzyjmGU@trQCmz@=H?+IG*TJ+&FX6sTdEg+Ry zr`wOg*+99@7B;I~y&mNQr~gu*FCqr^ZtiIteawuBCg7x*4;}tZ!h8M>T`lH$gA7kQ z4w(9|+7Qci)<)y1m}fOs={ms>7Tx2hLAUCVk6u{@e zxA%*X;S0XKxzM?>^8RA2ch)Nh|FVOal>P71Vfy2?^0Q4W^~Kt?z|&dpukF`d!7Rs| z`w^af9`7|cBTU?s?;_W)s9(_d`NrnJWCV3gaQ6SU%qxE`>P zu4cSk-@8z}Ihw#u;A4)-nUxyGLa$B=S=~GOR3ASq!VpjUs$M~KnmD#>M27sy(fPc4 z^cKRY*ak5p6L=@wRWq>v`q2px@hRffj(Zf(WDjfLJM>#~T27`@57XaMpz;;!m%u#T zJA0bGn`Aybwd>DhIs4Nq_|loPS3x$gw)Zzz6Kl97d?}lbu(N8f<9Rg|L9vM;D36@l zJw=AN(_F49W&&K-hC|k%=r+)@V+B`GPD+)YqFwv-q9~>Iy{uIinP^^22*xqjN=n3& zv|&Nv4*GEbL;y=aIhyfLJ@P`*_hcRIU~dazp>et}m1_%|1i>t581tWS>N^cShxBbp z>UwH8gw^(Qs$@HUZp2c6a4(iKIs}HgB2CdJkPQ>CieTD!`WJ zx9}YMRW#?e1wSmJgEcWghOEv$@v(wcG(BcQ$C-FDD3v3*w3(y<(jOA5XfDL()gc)t zDZ}9VWaJ|`?=vUh-J)8SzNXK-o^y4A0=-sKI??wwjeLBRxcZCMJ8 z1c0u_W=4(#)SKqTI3uEx*ue?esc)f%t~0Pb8Xv3Q3Rm`7geFJ^ofBSii@~L2UKT!q zx?0#JFU-6aOqT)>GxR7cdZcGk_hAgiu|D`R8#b2wf|dfk64kKlfq0=_fV3-}_WoUE zL^GXYEapO1C7~Zpm49Rai=j+KrfxZWgel4`)983qh4CclO7e;PqSxI~ruu+;9;{9cggy#n{#{vXv6e_n~pTUq5}W%c_#?;XSqigavvD5BPS3*3`wXB+TT@rp$-#x~Rr=Pw3w{$mSn4I8%kR=Ypq`CZl4;nlty*`L=rw+P* zTE?)RR{Ta1NNWJ|b;n~>L+f%U8WrMYvY?I*uCt!J#%l2j?8dn3x@v$itoy+D0@0&b zq+j#eWgY$GbmE&;w?apE2X>4Q@#%+KBZ|Zh+*g;ri=ptcawLt*)SswJ7Tmg&(i(aF&vZOBNwF@&*Kv&;qOJ6}4CvN74GgIAABa>R1dMEN7 zf}gG}ZyKAy)?cMDmk+}e>WcU*dB=ndJ3CvzTS*7=%XrD3Ff&~+>;i23BO>OXy-J1F zHS6@4i3tz75B`o$^6bt|qGBj`)#BlgP66`a2E8z79@UZ-gU6f{1bbUv(Z30aN=jh) zE`8c#W@mFAhzE8%YzYB|J*Z*RPNZ;`*?&y&vmZ$M?my9<0Tr#L9<2_p+op%6+;*;j zl`v~sRMx5FH=L4H(Bv9U=QV-m4?YJ8V0v8SE)4@Xt*@7w{G~ktf&K~aW`)akMUpWA zto$h6lccyxIUE;j!Doo0s;nJ*DAWKiz}E4m4Eg80dRbG^rwvd=A{$LpTVBKUG%Nyx zR|=x?^G^rjdH*Kuen3FvrSA~5{h1FyhIC-1XB+ z)CgCc6fIx5Q?pAhDp`OP!)xF48(7`j`BAm((BLteXquCL5H&z z$B5CpN|agCYGj=^XMFL~^H`OVf?JnDITx^UERMc2AU95x|2@|hxj!xFBqMQ>t)Ov<9ymrG%uX-+rmy}RM@dTMdh!ppzMj8^N>VX>PG!xW z!24Cs`WMIsnbC9xQTLFJH`q^m2~4aI zW@@$85Ctv%?>7iAYAy#}T;Lq$!@=xbk=z#Fca}5psv5+cTf%dRnxCo zLjf(_nB|We$E!yx?SF0)B|)1&=fQsdKWc81AL* zSB&*W%;d}N5CtxqpR-R3r^_m1Rr;jXHZJ($AaSa(027skF9PODrmztf&Wf{$iQWBe z>Ilq1?z){_$7)iHjcG1kSpR_uuO|o3fc{rF86j5SaV+37Zs~^?7yH;6X*W;I6D)B; z5$M&$gXx=c8KuMp2dvbyyFQ(~KGp2LPlsx{GA3Rw#IAjZA%M8k zEQTb%@dy0z%1r)K0Qrp7zemBy`X5DiVynO)>EsToYe<`w zh$YHd?}bu@$+f(FS$|q@_1Ca5Rpwo09EGs5-&DnKpQ$(@t53wl`cxK7>D}S_6keYP z%X*y@QpPC0KqA%46Ro?Gr<`wq>5DbQYs;x^v%Zoi>));@(F<@xQAiAr({{LIWPzP% z#2r3Z^Waim5_ssTY!vdPV@zG{080)0cTF3C>i;v{+j?cC z-UklB^FLE$pt<0n-|BS0Yb$m5RybSrHew+7mpT(LKtdg*^)*6W92}heKXofOZ)%@D zJrGp3BDGRQs}JD<>uf+@7}uIW2w>yq`#jFUt1Pz#K&53kv9aIqLOs*M~5AQR+??Y#o&;)>{>vKURQKpjgT%O=WY z%|)q)_aeW?1x-+8TN#V0ISs08Q$kix_f9XuCL8tO*k1svOtWoS?>zRMU^{7Rjo!Gw z8D_Y45$Gsihi6TjP=I&&#_v1HdEFLG`C<4Hbg{pG&f-*AN;2RKFuT$e32sUJr?kDE zy>QNFrPpSi#am3Z`ZP}b+$(z3K;LzE@IQ*#Yl@Y#Q%s9bygTjkQ-9d2&!~%$Ekd3+ zR)_A(zXtT$KckM*7aaG8z5bO7x5rk~|KhtMwJ#WmLvsRyTCQ2XB%{EnNT*qcpT;x+ zHXKO0PDHXvgYs^dyfql#(~oC>=Z>(E;NM8g2HY&I-<7RbJ8sa=BK=p*xI_~*WrKa^ z8k+h8+&wGuUMonk@T!9=vcH@!$%G9Ka-KuKdH@{2pXi|txM35itMAurJl!G9LE{y4 z(;R~^Rx;7h7}R5~18x1ps+OTl!FivT?#k9|AFb@H5`2-=vJ^@wZ;1fh z`&)Nr^h)&WQe>BN;gVjEii<2(vww_Uey=bf(@Yv1uL;65&F<(~XzAPckJ@DgX*(p( zW=gr-;-$Oe-vHa3mt*M#hlwCjc5!t2Fn~vBQ#mY3QGQ7b3WZr+PzM+I7uGV4s z#1CRMf#IiPF9lMr5M27s)}$o?O7vm0!X(DI^wv~qnYf~fxvVl`gYvFO?8k-9TL_=2 zGuoxAmU;gGltYAS#a%U$Ej}kwDutA~q#UPahqoh9FGHjOARuT{5@Rp{`(pzC*FNSHE4CDQn6PNz@({taW)G+- zF^DBN1^0^ty#m&GUtY*)saOOK$dYsqt!$7xI}%3T_*r8%ymv3xMdtM!8F_|Uc~ik-*+dwG zfr(iK`R7uGjZCu+_yii<5MV)cvkFS5&fTVw-a^2UtU)5^U;^IgVTG^mjv*GkD{g}n zJ;$~Wek5_I&AbhF0J~ZS&lbI)CVATxKYAA<1^bMux=b|s#SG#e-@>ngq*v2=!gnSR z{bRkfPBTA0^IWJ@OuW#N`SeOAUW8{fvh$#i{Qzpogr=DMhy1_0KtMu>rJfejBceAL z76b?EkppTn#CuN2Fkw+So=y_<_#2kIYU#Y&0yolm*LW}C9OSnX6Oq2g0i*e7m?4t! zpkfLFii#1NOlG6i&JHz0u9bk!aj(rZCv}$pJY%{EFZB+b``Bs(pLx;I6XU(qN0k=L2 zW8^o65$3fZBTXGf1@+K_U&~P9cMl(JtMwH_cYn;Q?jbZ0*>l?f-f`tgz(w77u}(lM1_{Hmnt0Y?26zWcQU@pUEbs@l=AJ zv^`!M&9TqA3L~<=VaQw{<(pIgeCrc&v^FIR+Hjh`>~h_xUI{LavHC@OrU{FPTGu2f zlqG_%&>pW~WSZ}AAtf%q_}V0e@XbcgM#z^`3&yJp3DOl%vGL%?eFmY_xgA#T6&(?b zDI8Df+NX>-JMFdDOietyQ98c&og$VE%EG6Pgs8=KwGnY;s&-k73GB>-+7VcaPd&jpkNVM{A} zZfoXFmUS-x%s_oz?|G*+pQXhZcbgtN%0_=jdR#VTJnwcx{9VrJY&((`5;u6hbpp;P zT2L;}T7qykPMYvvPIb3NZq%;}jwHE2DnY{w%1X=G={%=_IdDd^1&HS!IE5 zcnahD+pv~c!;58{;3Ywgw52EUa zLzW?rhUE?A)qeE3Zkwr` zMsyQ^*FVFL0erB@Tx1u5W)l$A{If)Y?$HYT0~Oc}MajQ5r{OTu?tdBJErl*&hm3>% z(=@;zGo_gV4+r{|bN8wJJWKr*h@gTLaTgc^MOTLR54*0N?tuUd_P&w~ygu9rTsH=? z${WF(zY9`-9vQKu-T-4)O$CUHF#scPwvS`(~nxZLdDyF46`tQSEB3U@*P| ztM-0HxV$+4n|De+_vi-`FA8C<#BJi0jpwe-bl-f$Z?4zdT@!DJ21^BNw+kpl0HZx^ z!9p>Cf?5AIi?wYA?Ji*=O$N49JWdCLBAsin*}t6?3ukKTX!sA)(JQxjKV^PRFWD^d zL+iBe$Wj>O2$I`*e=6$!v3h^zVny;ZHwxBSnCDP>G6EeoA8`JlZu5Eqk()w*SIMl= z?SNaG~!?3n(OxK{{w;f8%T_b!aagggSM$Je%atrprL_y3sA+#&zJj&_BQq(5dRIR+nl0 zL+GS1o*0W2Ckx@L2s3QS8ZPbZ?yIBUb|5-z5X3;1m0A~Y&nADQzH{ulodZk%6AueWw@%8 ztt6cjk26k3mjQt}4uJIZqvSC*(Q)x6EQ7(|)9p^ZFdcIp!eTSVNNT%y z2b4>YNqZ8n^f~C*B7SsL{~m=>bn80Vh>xc<#=z3+BphfY>u7AmaESBpNZRxfnX}h} zR*EqEo3@s!XbZc3nC2`j-ibyy8pROHUOo_JA@uVS~%#N)G^5$jDXs`ez&Xv}-u1&N(bjR$J}?q;&! zK0)rhhnPv(SrhIxbXtE$+xdt_3`Ex>-p9Z90=H zN*B>NOBDO?9RfV45;RM&)f)MsvFUBtXg&)I>?hVBK=VkF&H3g7v&@jWx#X`=S^zvF z)({794rk=CbVU;LIgZuua`a15UJ~TtRg|{!36x}`EEA(*P~Ec^H{X{Ya*`}ztzAQ8 zd#c&+Zs*pE^+j>}QKx6M8_|p7dpl6RsGbIw~eFm3UlFtw&A48$ z=9(?^SxazAtn?$pNpH?@df4FfqC&WssR96A(5J<>BO3QT%m^;;px{kr<;SOKPTT0EjIxSEGe@;kfGd=SQH z5VJM^{IfEYjx+x4z&~?{8LP9gUb~Zi0hvml$^IW_Zvhq8v%QHnkl?|CHV~W;f(IJ+ zG=ZSOgC+#G;C>*uH7)@XLI@t*-Q9w82=1=Iop<=%JM-@U&6`;>!&)C}oj#{dSM93W zd)K$Cb}#CQvNcSi5uLlE4G>z1x2-2KMxX*T#?QYzUU-}IGFpMU*!L!HxGeRlW?u#S zRIZ`KL8qj)+*7lPQrwMU9i)LiN9$S>V`Pm-K!qcJ@zVUAoF+DssH~=MC^SsdtM~h% zRHjP7lka0<{reS8Z5A_V4m{u9Q~qR4n=2<#4utZgv-uS+WUt+L66c`!wDLeyUa%+w zXSrLA3Lc4}jf`$v89mMVtXZU*3SS!V8J`sK?5)@|kYwTdUa9W$PM!e!yoAj!q=b6f zpLAZ-$-cUKMEaq5@O$5UmaL=@Hr^JM_r=d`O#`=tTHA|x``Lr$DF36M)JH@jd1JET zPpu{nv2^MMq_&~Q&WWA9?}^7wgNU8k05!Vs45$s-<3~AvxBp!F`c~Di}sz$kp=P zD2%xDK;q>&tYvawHn8Y;g=1hx|3~6*-6YYv@1l=6!=H8^aSVz>%dBRHUa>6o9%Y#R zb6=K6{$|u4RF14BtZS7-x~ut6qym5;-irv@FDE6;&Vl2+CHD489(&$xx!>l813rF3 z@j^&?zVi!G6g>cNP0ql+I9OBYO@|KM8k{M`pP~MZ6b`m_jr>+7I zQm^~udNX%rXPMz8#&1z_Mkeg4OUHu;bxvO1z$@##y4s~@(vw;oDYNSM!~k0U-A*7JX1z>d4V!_D&hDIW7x!?%sC&YJ?Rpp2)ML=Z#` zuLn=o91EU^|K#~25~)agG_6RR$9hy1ttSQX@VHh{4xt_TcDP=0M|1W5y?IEAQFcnS zr^L()3$Fpam(lq9*QIOGCwTpUeP5W9HQo({Fd}dIG`P|BM9SIs0XMwnt$Dcl%? zRr0IpD)GYsOB3vLkynFc(4CSDY2#N{VaFD@3XkSETXZM7ofYxEE%C>{rX$2nUvgkv z=qdK2m62F!9C*n}^`R>3djdZk}?qQnVF2F7G&I>xn1ZO(~+R%w^_-CrVJqDYU_ zp${h-p?hrdd-apwZ{k&Uwv@-_LzTo+(+oeiuZh)^#s3`8M2ZFG` z{LA}smVhx*ZcHYd%Ry|oG*M8>qGSx?{-YfFzxa13un1Juq*h9Gn)}oY^wpM(>ZNEX zeE&KD47=Y;z*23=s*aFLlI#Hw`hw?txsvEUs*?kG@|eqFY6%#2zvoQ)pBD2Tt^GxV zk4{Z(9BkMxU0?2Y$*M5Ea2fo0vy>ujk~spY6aPjvo4?1Vsd{dlu$VA{(AF9hK;lmz z$H= zCqVzNseq`0q~Ub;>>N(=U3B9vg1&c21xVBC$k&}RHB3V?iOmr`zXIz1TsvI+9ecF8 z)fvI9U%h?jcDg=+)Rzq?M*>Irta$Z#KYq(hp4Sx>)}&vGttT+AT|2q&6qP`A{SLcpT*j*tksZLL08>BOMl)1YNy*c6yxHZbZlfTUNeD1-fSV5c;H9FLJLdoChDM$t| zQ*eJ%7L*hvo3fjX4=8K)(^M#=VZjSH)#Yc($PviGT#lH=+LB0bOjLZep(72Ud`^}1 zCH(r5Du@uH3dLQ@YY{QI8&&XOhWq4?&!SAuOt;hrN;+9GC$SV7T2RMFlzt9O9v>SzYlX@$@FOwj8Omv4(n3R5R1jgQ=Z;ax4 ztI%EU@1NE0?#(vMTGRKD<{Svl+lUQN+FD60IFuh3osp-U_6s&O8t#E-aN_+BJmmHa+Yl+N_Kxkv}jpo$|; zmR@Zyqo(!SR^oTW+3}q;-9#r5vK}cPooww@;M#@%)WW!(q1X&YgZTv$n`4MC`w77D z@CqDo?J*XNQohfJ?YE|5w0{jf0lwglu#?-=s4KvNW3mo#>uVy$K31aZpG;J39K+*o zuVa<&aFqLd+zxUuu^J7#67K4zhoTB*UEb=FO*J~7gg8@~Lj4l>A~Ifpn~bGDJ#e5_ zq8i?LYAhu6dmHno?`N?Y*p|3`<=<$udXI`=%qU`UrwVaADA%ziRUNIE4e+rHF5dtC z7eVy&i7kLhKYGBm5q$Qsr1LQP6Tt?O1|RG2>gJGOu}r^$dQA}8v^roi*f|*CRML|} z|0hxSL8IijA>Q1=2H(qW0rbWA@39~DKhM1=ecAphRm?Nu;)8+4pl>DxriDji>6`8} z_pZ;W4nOJoRfaB9_C2|OBh3JzML#LpgsFR0%P|NmxA4A~Ic2M&h z7W}OtEVz6O{ZnL+RQ&dXx#m$bYuNUd8M^4ax}9jEYIQT6z*r0MugJEV_n4;#SWgIF z>N1*J{SZ%!=-9yf^?q>(;NsLV>YH&2V1A>PWBR9{H})ubma(pO(3tbq_wqW(-2Z?U zw`afh$)nO!Ojhjhpo33sf?38a(u~h_k`S4f`ovS4PDhJTMosHe9mBj zM@AN3hBV$zWi^Zk2vttcSp3OyhseM%!Yneh7x3RkM;b=ZoobWE0```l+Q&DJc|v$h zQX)P_6d)dSC;R&n$gRUHi42H*QkLi{(p+!xvVx_t_EQh3-fdbH>r&C*X$KF}Jd?`) zWOdvyIr|pp?LeyyTkYxVp1pZ4RAFM&%C(tEf!;h8mU$BVjMauCSJyj&A3D;<)lR2m zV|F|FGK@E&_I4K0ag^v%K~WY|mhE=d52U7qWRR@;EQ;y=T2aRSr&E;)&t{w&JNNDNQ1` z;mVP2%POxr>jPm zO7z6N#0GjPmSn7%81f{f$(dP76NC3?(ga&0$*}Tjv`TPHCWv^0QW21ynPZ3G)tGQH z>w$Qy6ic*=Een?7ue3o{e%cS{^)Q7@UD2K=K8XOx6nF@mv<4xP1n*fuHO-Uw1914q9wwEY2m8c|;y)SGoG2)Dxdk z%(2mD^Cb_YF(yeQ$EWT3ymBaWNYq;}(skhROnjYF_?3x_%l_Ty7PTja|7Iv-fuZiZ zvX6;+-+-3TSD9}^vOMcW3FJA|J6>uZcZSD&s||1#eIJjULq`Z2RKw{f-ME+EkL0|DFyVT$Q(x?_55AoB`QqB4)8coSRhTUP?MwHXfLT5b* z!%o=klhSfa_el%-+m?2BWV0-o)A^d5vv+oD*F_NFG%5~ZXr)TtyA8SB@ zveGoU&RkXS#naB32}ZA`Ft1XR`l!EKsPD$KP4#G^q*2Pc+A{N8b1)}4&gagaeI_R8 zF5?UZ`k&(*jITGw&BvCW-tIGs7QRj`33gJ|YHG$ycj-4>FUS^)P(FL;mvAwy?PVBm z6@5ZCV-)Q@))hHxyKLa&ZF$!HrU{;w5b&Y+K)&S_IqmBpmXH-XZ3~kgmMgc|R}D99 zQ4S>AiW_PqFqPFe!pe8G#$}fu*NcPO(UiH^0h|}VPWqc7>BN^S{27u?KNvnj#v1=h z_#K>5=T>8pEk|(IZ{duoMw~3Zc^d}LC4)5mto<=0YHYmJc7H3L*hp3 z8Eb1^|F$MN;l&+8d-Ri-1|2jebY>-^q_Bu+ZFS0_pmeV{K9T*2rUrWAap?JR+oCd zW$R8FX^Uh5c>YUXJ?GedrFJd?*)y-s9XUpklZbf*g2D%E;rWxQq#9VP(R>w;Wv@k* z(hi7N)!ub?PdFB1xJW!%mR=xFd$fUOOy_qHl9OzREx7TUXNlVbwTid5|o=s9u`&N-g(q_M4Hy+9O z8L`D6&mb9qkjOO}N#Q7iV{@ogbPz;pPf!2WKo{1N zJ)6-IE1)qvTisCd&S3jSgZ7TN9am_Z9Wmr%NTm=gbp5O8DgGB10RLIm2TEkluJIeB zvsX1|tx*Bgl8}@h5?wVOJBU=w+KEalX{UNlNb+^!lp^5@^an%XBKebUaGsntB!IXKFI9N93&7zq{InoE>gY6B0L+RRiWl%uC zxvS5myc@$AMlD3b5}V9dS=h>CO@e$Oi^tj*9z*7CRHE~ghXsYp3au9@=Y6(qApAE2 zEr9D~1)=Y2GOq8Di7LdbfiZcHn)Jr$G|>Y^52uKrMlC^%@c_T%ua{cs0^d2*@|2wC zzb?=}fMTO;lRBO)oJGr=^T+e0GS?T`sD?R`?KYq~u{!&eX3~8r{H>et;ZQ8!NieVD zM18g3*EnIy1Z&!s$wqc?K68A^5BNoX=mBp8gCiw+$nLq9aa>al6EI&nOCwDDi}97c zEmpZNU-l}vrwItZteU;$5_5fbe1$e08riOUSq*_1=Omlt?oa1uh=wjH85-Ula@yL2 zU__;q2N&7Zc>+rF+AoEQzv)ABz-^wyc9giZ0t(rA_`yQGy&VhtXJ2(gvC2onem@WR z7eb-3;ln%`L2POkIb&tVQBMDrNTOMh~Ed%tr+@=AFzW7$ckr%l)ct zw@bg$L2@F~V|J7jL$=>Ktwtg)4P(7QFzr8t5 zcYOS|=uTU}sq{IW<|An``TD}e{IG`lki2igPT2B~PMU1<>&(~$1P=+%{Wm&_#@!;j z2wZL>u@17thfJZ8MFCn^h307of(ulAObmmn*a2ezu9pwF#aM~aS4*vuGA_8W=u3Rs zQ}ZV;G16gBHPiVo>^-y2QOOb2tYy3vN)-tL+CY~XTIiLHDy8Cw!{eKieJ!fB)Q~9ujA_lyki9VnPP;jk zjX445aVzAEDBFcwWi35(3ewHNQMTTQh&7l-c0l#6fTHI3@q$lvYzKKhPL4(Payn31b_$x5d1ZT=(AtEFs+uu96|N*Rr-$87v}EJ-JP}GZHywB z{?|_}wSMRcH#ec-Lez*{8$-WTt&g)HAgKPWMr*09kUu;8?NEqm(NF^&>Jl&wOk79c*MKhwwCRDe3FYI+f*1OW`U>+JN3LP z(pKMY2Fk4D{$@A7DiEcju=IllhC%=CnrZG?{jH^B^kn#x^HUG=EwtA5KQuWXkL=ir z1+fdvIud;r3n&#QHMI>?=_ane*d+jh6CL=*qa#V+d6g2M|5|@xP(9X?wzKUjg=~27e zmedl6m{qY5gPDCxm7^tegY9hrkWhlC2>5>252^BzPci9lg1>7Fe6BeKa_kOFM|qyN}_6hV-}gj^57ZwD7J!0 zgzJoNi{=`~J6ZRrO#JecWa+si9JIcz#wyq))&{zwpOZdK1@*|HwkVCpJKswGg=vO6yYCOjS<8%yD67+C zQPK?C-USY(zqAyc^nyKge*&21YzzD#$*86=Wk$2(T5Z)+UQB9>I8*La&Oud7t-x6d z3w}?1{FY3@^E&yh7v0k-4_x(m4(t#cPA}i<&bOj>SmFH?bg-DixrcAw(YFqVx2>%E zAZ>TG1sttgpIJkl>+K{+L&BqteZN$5Bs*4Dm4{@^PFrq5mP7I%cBBJmcxop^Z=nIO zKR%ltpQLL}HOy(LKRsC{)2CbzF(>O|uq9}22$N`c#=dDPm=_@E?iZm;Wmy@7IY~a~ z_(l{%waD)5?S;*Y&ZM>^wD3W(L_xl+wgD~4<{ z@3RfGO?VD~759}?dd=hO;NLsU=5tjD+Zb61wVTS4P7)ihNeA4!e(SYVQ3g;;hx$mc z5(dE4U%ub+75TG=t7=M_UFBK9ADLROT)V=zc;FfJsbY!2vdydv&6?v+!}02uq8&Le zTYSbVj$gKH5$K9!$r1A3#(HdCGF@x?zajquq+vNSg}OYX<2Od|mz;hs!bVr;sVsIy z4%SPAzYLIvNdy4Jtgi9_4WDX)c6*f)dUhGP!*V1{>BM}C5){xLFw6Y<`{hcfJxW+G z!QSa`uti0htCbVIUhsQrnbklUw#<+YcDXr$=^#ntrWG z9&(m<=v#Nocl}%(c%pxqTNBqpK{%Kw~h=(6RZ+AnC?iWkdYkb*hKPd;{t`q`wgJ9Bhi$f0!LlTjNhrHDY;;V zu#P`)R6PJXc8x4TLOOPvF~Va#GyzKXKV*J^V+90>4lo-+NrfJ434ME$fS%$7b#;m8 zq%7mO>~Yi)eap9rpCQuP+v)(JRI~=A64gVdh6;Nzs{UUe`Qin8jaIgIBCC_fwu=!d zPrpf037O9Dn5nT#K9*8gri>pMt^by|M}Q&3Q?d`R=P*9unRqW9qr3EN$w@s1mLmwK zdpVitTa%-dE}3)p7h=LVGUsc54fEBld!sO>swi>!XeYR&>R7Z^^XdT?Z3@@pw4>`{ z#~l1*``Ar+PZr*f(VIS`zeYGpdetz=vGuTrj@5*JIGXvO!!6NY5mo52zJ(V3fVhZP&a;crJu^(1j0a>`H@(tb%zt>X>mBp-1;G?cr{UFiEj@YIGI{g>6^ z4)L4#@#sNX<2S2{eu*c9*M_}1w}zj@ueYUE zS28-}fn<#==Okf#fTeWri_FZOl3E+qn(s;6P06e}+)nA8$H%4A9J`dmjV6qARa1jP z4M4C-_pW9(=<$sEIwqbpx%`QU=*?Gj5j-md%6=>S%zv|CmAKWRei|t{_r$gy`M2?D z({QhsSGWtdU_lVwOz6YM1M^1;qD|H_bk^<;-x}b5%tPHCQgB?rP7opn#*H%qx07*! zt_otFvlz3BF$wGKMO&Aq=GO|JtT6FAQ~)cqT^0T6O29g}YdgDu62MwFXGp@bU9i$x zes52!zPix`L1{SH(oMOK1 zFJf%Xt#q?E9OF(Vo83~gwi$C{4i(qMaiV4b@_n^baPZ5Tm*5eJ-0XXc?=9P#=ki<( z@x529J3I}4mIuq?=ERl#Lug`E3B`W7ygsyJ6 zQCGfPkGh|RiS>1fEOFkUhv;4(C2=Q!ncTVV4;4Sh1;|X72Qamxn9KSn`bw z8ZWcU#pI%*8yLG2?zhB0r=v*rqy<{Wx}L)LN);Zg>}`IaZbDCn>n|}pLi^i_z+0Q z8&Xz|Me{cb(kJ%uOqpyaP}klyGtWA*Oz;Kt`0)B=pK2XiJ9_1mpp?m+grEQh2*ij# z%-2zmtbDDnCyqJ$6fmi^mePNL!TH19M3))@IZ*wU8%4aTnoEQDy}R+|LvDG@eW8~r zaPkkqB%;{xmk0>N_aZn`;q*dqCsSli4u%SWh+&gZyXWXO?3E#0-S?d<>$U>Mvymte zzcZIUpZ3sX%wvla{V@BJ%v zYwn0xDRTxm&h>-#`GsNU{vGem+a_xc5*}T;Et{!6+LBZ{Q`D$x*@+O6*RaEpTp>tF z@q@1G>}ph16+$d3F9^ixuB%|6h?UP>=8zDLpG*QW9l18z{TGwzDoca0DYf^Y#etu6tAhl4Qbt`|l zXsWSr>e<9x^O@Fc^&Qm58y}L54WIm^MYU+oy=XpGd+1o78ebLGKX+k!_NokzRpnSP zWK^bpBSkVXB45ry|MP)y?&by4$*#|ba-H8(b#g!megTuGwlhzef=b`rMFo$I0!q_r zpnd!u`$hTOBr_*7bQf_#96I+1F8l0K_pG<1E!unYE6%sZ$1!twn#*1tt5Lhe*b8?^XmnJO#(c{JxZ)*hxtG1DL8~eDrqOwMthwy@LvoqV-dmJoTVcEI%U#y|Uu+yiJWrL= zOSdtGD;}AkTx{B9WFrUb6z9U_`~xzJeL9Zt;GYrpe7|}|&YEXYf-;lR&*s=jIn@<_ zm{_PID5i+I*<7C=Y-P%3c$PFF<0&O{(1*=tcjjUGuBdeb6*J;rSVvz38Z2Y|3_dVU zZLraAQ-usJ+;YQV!sJ-Itw5A#0N%Qp) z&_R=7F+G?ihk_{4V6bH^)ykSw7)5b(o zLMBj;W+&cgAhF$O=J|(SPAoUk67E$rVR`13e&4&7qyGC(`_g~dwyD~5j!bdGchO?3 zDxp4Y|^Cokz&G57$ynaAosuEZRc&yPpFOwA= z_FVt^HBI9d-6mFFd*8hkY^}c9-X8Gs*3BiLv)&huFf?UbOh&bb2-UYlcXT zPgf+|ozQ9+Xcz9CzMNj^X^VM-Jij>he3V(qufGs!k21DpEyd!ELS5h4&v<(BcW6^D zHTZJwtH{};;Upni#5R%_XeXNa>K(m4TQs!Y^=;9;QpoVliI{iTlG@z)F~@B4dHYBb zLXZf7S%P&D+KZ10X&b>kEtD4B+&^uYa=GShj1`lveAiuvEs4KZ_AH`NQMV8w+ezFD zswHcIfJ}-VgJ7?&OO=riPb@qyx`k>D1Sj_Tx|3;UWS`6*w+=f3PBcr5CG-48aV=8; z@-HDq!?!m%&(KsK$Df{I_@DX@X1-NF<6N;nw(P=sPCOefM;WDuYaQiM{lTDpwCy#92wvX;5WDpgN-z(PAV+d)$h0o z6Mi_;p{7lda%0t(uYa>LG4|_kW}{b-H&v1N&LZmccR6yj)%oMayKR~@A{RV z>fQ9tFVEJC<$8TRCh$lnIXq>TRS@oZS2VWuZXS2Y`DhRD_Fncz!P(k9hC!c1OD%a+ zVypJx;#^qk>c?K6aYmN?wY~|;ug+PN^H&cM&66VePH;jhwTc!IEm@Q)+lZ0c<)(43 z=^_3P!gx0Iil9~E7g*jtRXN*er}azh;&)}ei1p>Y%i;9)HcfI8(0CYeFXr~h=sfA` z4jN@u2LiqwcOe&@#Ha*8J7StkhzNtKccxpXnO8R-v9u9FAOz(YV_OL7zd31etsg(4 z+eVV_%~*2z`eLriP$jR!0|-PeIlR`)TVuIBO;9tK%4H#f&QcEeuo~ zRlEH_hQ|d2hH8PVGIU$d1Ntx)rX!$WqyMqg_m%Xu2dnYqfBq2{+JEr}a6qfyNQO}V zDFt}Fdi!hpVs^@UIT&r4`0TG|IeGZ+xa(dfw4NZIi!2mkur@QR!K#7 zAnx0gEy~&o$tFsLXC{7jwaRqL?J;2*A)NocBLV!x5`G`Dhi<%J%Zd{LYp*Bs>Ruvi=6eOq$bXQKzmb7h0azsPf? zCs~z!aU(Ow$H_>Qd-4#>^N3DcurZq)XR(3_4q5Ud>8r^QiQVK(UD%X5JboM@Xh|KS ze&+~|?sb7A|MPvr!!zaOKIC02kSgqoyvd^uKmKnDUwS$xALGJDR=o#x-XUG8f+_Gk z7W7O3?7xYbx!1*>#+7xak>K0cxz7VGYcWPvf;>1=BMq-8!m1uX`nRG158t3Ma@lkh zboaU4t%f22|M??J=ceo}?@N--tcJgv`a%`=-DVPyS<^nEqI_8|T3kha_qzDUuu9>d z(juu}{`F!5SN}ZZYtIN(JdHTX_}&jljGi7wIXg5u6wM|?af{X{z@)%A%DfT%_RKAB z(fr^)l(F0`8))Y5Wi zwCvD(QTuTfB2cnQ&F5c>F4H>xkPZjuYRigG_4-L&qc!jac|T?#+6F>G#kO7kFU5vq z>;u3j8fN2u8ony?S;f&3!uebUPNo38ls?*gK5AWXjA-i>xmPV{r$6rPddgU&RS^9L z$9*b8qjavU>c_wJMAHw)e6NLFyyxZ$5Xi=_PoNlm|Hlj9e}3!Vclu)(dxP=G3!`fc z3Z>q0u2=+Xc6#(r#Y+84bDYNxK853|4Zos|9gT>@CAo&cWs-jOTL~7^NV;-Y_h7DbAmHMdyg&{1#uKpot zKLK-vwir+ypn#TbYBX}0<3jM7^zKshnlW{@$pvuf-_xF{%+t4}`R!ca;;=2LI5%@r zF6ulFOR{IoM*}C+>pzK?Xx{p# zSkF7(b=87X7aX*0nDCr-wnseOvs-|D{#pB3{ov&}J5=Ac_papjyrQ@nVRv9YYOh|< zyBf&A0KcDBk`-Csl?^&Sf2Z~FRL~-86lB^TiEPD4j48M=P(%(m1kH;6Ow53=JNv_?Z5oEI}b9Pfb$(Wfrmt?O4&XggL#gMG3 zEcTvpydyi7Z&pqo#w)DCF3epf711%7o`U96UM4G;V3!+TR;kmh$DR!xxjRMroPU75 z2gISfuBlzE@})GEeBLlND>YC%f)937#k<0lr_*01_L}{!yj(o#-2VEr)RZu2EQ^N3+Q+M7X^kd6y^?Z^vRm@Yi=C9V8Jiy(3pbRJayG}9^9F#zFM>h zni3Hn9$Do+Q}!c~Hlo-q_$VeWIy_Pa`eg~?<1FW0U5m)Vj*=`<*56|Ku3B_HJfB)A z1U>5C{2;1eQvCSijNBeJs(arH-Vu3Iu|SHUX*vE;GDG?kP~XM&XTN_j@ql$gs9<>9 zQb}lv&&>W2;4pwCtUndIw>R3R8lM1oM(aCny`NYssD!Pos!dDpuBC{$n2n&RsGPSdjO#VdLFN+TBuGCh8D|gxWWg`9dy~d7> zjvgDp4u!EGIQU>j&GR&e-c?JWc_yXB@J_Vq_Y1Z*RqeP_vOkGIihOh?S={v@b|P9b z(jxOX@I~wT6tjGxJuqpzcgY1dClsY+v|Y9F4Pl?yB~ON}A+`NDvVMb7P@Xn1p7-yX zQAG?G9BR?J+A2@qW`YhHPa{RzSgB5YND!dg3N|DS5w^)X;eELh1~kdo1&u~NL-x{cWMJ zu_`DREEP$#t8z^j4J8yn(8)Ws?6_O{1T=a0u^t2J=Rp zGG*~XBOPB&@3171jPeWsJhmxxh}AJr!KRNT-;&5N^Y zeLCRNNvwa^wKrQcu1evvi>j5mgl?dKATYo%#1_{J{0%H<;NP(<+UE>~7p3@^DH8yV z=uVxtboOpj ztrPvD8O~L)wh$>;Xp1#ngz~8xyZ)Yb*BnB1 zB|6Esx{?2tiwrJc;MW9fXi8J(ojt``cX$ej&CX}R{+_hK**on|wntfu3W&{N^4bBX&bN{~%UJ$GJXzvyh&p;=q$dcK(K z^hL2!!FKt8>_O=hm>GCujs}ustNkJ70~=Y9!{_0=12868AqS_goeLu@4DiB) z)!$dWbFwG$mN_w^shVf2*>7!QE`NFpoKrRbT-J9vU+^K!`HN1jglBx7%(J>PZr#1U}bO85^+e(#m7#c&(G(o3pX zyEM$6Dvl(LDPyCs!l8I?_%6=tgvh+y?#1nvwair){QSduh8_L1lh4{fDV z?R0{7ioZWdlY9)$bfMQSdEG;b(FuDyN%XNTbc@DfQ>Ynjh;94Q5o!QKQ=Rf>xa(|1UEWuL#F$K##KT*V zW@=45sOMb6ATg$)1&s=|~bBm6O36Hb(0?%Sv_q>vX=^DYX;S^(|zKMzzZ%4V| zhLnQXRl`4%LT<4@8`Sg{%kqE~=<*_2uBe*~_?1Cwk*1Ou6qe`YF3;9o^18rtS(+~% zWL7#BZdNGRyDfER)rZYj%-fRcRxF(3y6MNZB+4-s&C#jSA?+jXV9u3*%$Rfq7`AIW z@{0X)wb@h48KQ$p{u81Rag=~ea$zGnrzZlvkfZ~FtL1cU%f+i1_uxz*@ECL$Z12uE zFQ)q>yH8AsEn$804!1?yTDp}ZU@~$RY*jg#K-|ia?Q#uh&VOHo!PH7|u;8>!K~DY+ zuU^*uusMzEAGn;>Nr*T>Cc7@V*{!e^MNUt@aHM`-N6k33&tH)K>Lub*z3C=tuSm*Q z3!LGe%_2GW0LdGC{@9qz5kwDYboJQ_tv)iqKaAGu30eb(w6q|R#&)g;#GJeKkcx`$ z72RD6>P<+9Q)|}0Zvm|$sNIUrUPPJj>5_?t+4D`hE70UCOBT66XSWFW05X|=9C1N56U+t&QnA9lKNLV1+D*~Z zJeU2CvmY5iKUjtm5#&AhL8(9?<(m1RqD{IetCPCzS_JyIuhwPoW7co^r@O}4B?1ot zkTt`C&wGw$DHh>iv4-6?MN8uBi@=`>7gTH9n&)ZK{$=brPs#qiaLw-m`FN^#GTSePp|pM zbvm1(8s|T^D`-&hY5KnvS~G0J!w$l7>Qu5}EYOEnsV&Rdwvy-&NK;BE^Ukbd)jvJ_ zIalPlLZYkXx@9kb5xNJ>c9Qqkco9aT2n+kTV$QGNeow}3%s;(+Is8k|bq^d&7#e?b zthjQYK|l1GhdEZVb3p~M*dZ;b?ITZmKHRilircDK_8x{ zw)msdI-s4df}G6{{L_3Lm(<|D{~iRMIh4GVYyec2&w<2Efgj{?x%z1~KZ)SMPikEv z?bXwL1qPExK$rg9qBzvS+mS5JFlYqNBnJ(#_Vn4g`pIN>GrgljvA9Plm>G<}*W23NNnZ#Q>_J zdkUSI{rS!OdRE}f&N?*$LO+)-Hob8&$%c6I&(a!O$A}JXLz~OysC=$d*dg9|yfSNo zcFb&rHLaR=zvJ&*PmE^aeIF|9bU|jE!h~XnR{IuXoytneMfGWa84!OHIT~^f^&Pu) z6H3*rmQes#Py_c&E`B?p8WY+VmZxub9Yf}B+;lc5m2=1L}NZoM$8>TPTX}OIO z74|X#i{^8o?VoTV5Hu6NoSdn=wUNh9EnF5um;&zCs1T8V3-%@ApVGcrf&ZRBwj_vv z%!~VZVRUMcsySg$$l)yX)3SVL2_Mc5(1FedD#X{$DV6Y_FAZRLhYLSag5Hz*Uday+ zpI!W@y#*<-&_+H}+WY0hKn}~1b>@Uw0Zi#1j)>L7FECdi5LZJk-JayvG$EqG669~b zI*}&eLr{1MIku842S^~0M2h>uAb~xyLmYe`Q@*d|A|}XxfB`yFFj90MRu0T`XTiH~ z3}_!>VLsKH%bZCk?#*JwXOUEAm2g|FPKLOy#_j$SB!B(aMEgcp=iA31c_#s*b}jy!ldtYPsCnOCnDBWyAw$slF@?zsA=vub zRY}7~sT2#)++6=>5*eDl=o+fVh7VdeH-bUBJv~7TJAFN6+7exF?-jWM0F0wGtdc*Y zg`k4wnqg=BP*s!wS)BAaSFEt;Yhj;5YWUQ@5V3);{6lr_$NZYXxvjSxpwz(s>5zG>{M}r5@1VRxzFTk*ponTiVM$@z?SM`HAo?r{-q-Vjq%3|<6 za6g0CH&H8)=ADh(P}-a?!pm`WJtlTRv%Z8tL?3|Fvh*6Gx{oO#AJk46sd&8q>TL^0 zZ~#>M++dr$om~UOgg>QHRL3cZyH_`RPGq~(x^(VWm5v!B-Mj!7cko9bHReFafo)Xr zB-8|C{FRSdNf#!$;rGnGRnjZilE3}<2BQFrEmBvBo9%B!;&-f5iMdAad>I^Era3E%XOuvqE0}pCY8!gbE~P^%hluRSsV=~t%{okA!I=k ze{l^Kqi8isGLHq15!Hv&Ue#DpHv?mddrD(G4kU6gA=j_VCm!tDw|~EcJ=~l7!+uZ6 z2Q2pVj107oK!*PyyOrt(Cu4EI;KhmU`?itSK)D2cJOuKQ5RpiT7^0=2od4nrMt_El z!HC5yO~Gi&w-36rdegPwjD0eL2#d*-4bF`EdO6}Jn`(w}XokV6fdkVswb5Xq6Qu&f zw4mC0g4;II);4l6xccPwD(U)Wtj6J_d1ZcWNZ%Q-?54j_xXZ0Sc=|gfSs%t$E&=#3 z6`$Pe7x(IlqiUu56K*aOEH{ecPxjMV>MEF|32QAiJ5-v>S79RzVFN6?4h6>!uA8OHX{QFzDgVp$`c%}SDZKoz zy;9eQPd!Bwc#7OpC5iT!J4#85Jnk%3cl(xKGpe1D)Stg@e2hF87u-~Z{C;5;Tc`-fGfpl_lhI`TzMGk^^Lf(GSxyHl+VCgeFd$0&YX69vX%E=e;7y=HuA zzoN;E$!Seg3;O*6pCOz4dE|S3+>aAj?DK4r2XHW*;pY+iUZ8%4Mt6yP`|HVOjNfgn z+ghV*%3|OuFA7S=BpWKh9sIK5;VeIJAsGcls#*h$4(wB}Kn|4vjA$q*A$BDg5N@&W zb?R|wbjTOTr}VJ{p?;rSi#WZ|(r0GOy_&9;)bOmMtlY6Ejh7Pas&tJk#Wli390g)Z z!$q&mEO*_nHfGs0qMA>%1V1zbB0Ur~pTS#JORR$_?~qb6}{(1pw-)^>Fk zav|7r8#w`9MV!W{{i0W=C4bJ975R=rmFT0kIq^6xarBe$-EC&`zW(e;1;rhqCbe1J zJ;s%c)kmlnGkML>a2Q#ppW5g`+lL|B$BULTnx2-odImDzwEK#;4P%(+daiaY8IH#S z_Y{Uoe*Zi_kPyQ_e_w`{{^uLf()QFzuHVXUt)-eTb){u>CUQb%aDJt|#IfO>k!mO=AO%am{nkeMYUsAi?0ZEMO#|>bS0}amF^Fgw=U*-wK}@ilo(oeic>i< z(-*fZDo&q`b_QrBX1~%oBXtlM?pSryR;$Q*hqQ=2!PKiG1JKUDlv`8-{aqSUHBNM> z{Urtga`8#6RrkMij9j`r&MO9p!af)ulwX*&19z3aWK~Kc@OlQ`w^IWS#Z30ZTKTV; zH!c5oImBiQEtm5jj2oosuNN7VWwjC=6g)MKvLgS|l|9&~^g7Z{x-*)NcAf)?p3gJa z=;_IFFJEXHWjF~3KQR(l>Sy8pxf*-5l9+vwRSuyRTSlyFx~d0MAi?;h9J|H8we?S3 z zmOatarE7-HXfzjh6SVbk0zU&$qyl zzGZU{uyTDw>vU=QsHRi7xa@jtJavtP_y`oA54LW5XXLX()z!~SVJx0q<-)=<@5h&i z*UHSJ)<}?o&e~u=m|OfDvR>Y|t@m4T5#RSW!Z3mHS8)0RWB4zYCK=bWqz!}d>iOF_=yY?}4ZKNF z`~NILM4NBniefLhLaYpm;#?8zqP(X zyRiA(Bl$@c_6(J0Bmq2x4Lkv_Tk<4q>A@w!pOuARs)Bgo^qzVD5}c@JWz% z0z>2sgT;e<>BU^yDPyoK1nEPOZPu^@9}_59KT_Jy{#|sd)%`IUKI)U&ZyO7)(>Ok) zYI|aTf+UA!qW*pajs}X)m2b@pwO)lE^r%X{XLoP|d(xVIItaCFvcsQkb(l{jZm^-H z13ftDc2HFst0jra))KXaM1HaiWE8;1kDFxI<%AGlRtPA-| zWe%o3NEW3{>4%X6lAk!{Nl}%aPz4zP66z(7tcp2tnkPiVuw;-eX=x)xf0+;3`iV5g z=W=o9;|c7gZO*06JQ&TaCFd{{BbEy=ii?wU{z9a195f?XXFj|6VfUonyB+3f;Ks36OcYe1o zR$p)ImRa0$ng2M|uBMJ3+V~AjIgv8JQ0wTDFmfKuLiW=BOq+JIb=09MB?}&Sv)v9v z-XSK2f!gn~3#4f+NV%1Eb*+`Gj|OlB7SWc;RQ_#e`1q(d@em*Xg&4*^+Yx-?raj$R z2MH?OII*Ryi5dM|n6gsu_y;{mchj zSygE1)&?YWw4LdzW@t`%YN!NxW(JuQKFY}Z*coH8GMPYi+YNSO{8ldTL5&FBj?9?m z_GwU@BO>|Zhy(q7kGd7L-wO|o4wZnGcA)1NvwP}_?h$?7bk3iTYsNvUG&v~6WcUYJ zfJCoO-AS*`!5`Z$i328DLVQ#M68r>4rc{FpXE>`GnAn7jp{DcLhVSL3+%l5BWx?EJu(gtEv$8K^xWMRbiA*fr-jzx*v) zQ;@B*%N?sw^gd?9=agD*naxT~%p~0cIF!t9N>cT=+Lv*iW=6_oNK5NU%@9e@sMg^x zfoM&_f^=J$4`$h){%M^_*0_TKc>w^o194WjFd+-$(b8&dgz3hQcs3w4w)-UYhr|q-V4-L>Pcg?r!GWKx%9KRJoWT`B?i3jEiI0B z^=HqY7J#VZGZv_^10*AfTzFYoQN3ef0?B+I#!52i9%kuca30qtA> z8y&S@pawMc9fc=EpXB~sKnzrZx|^4^UznuF=N0%at2w1n`1pelSIg_qi5jw`qZP0I z3qk$eOhI4wd5)NdnOR>Cb>xLVijDLf2K-h=74Y$~LEJ_}C61HHfy&ApkYP;*f2?KR zMo&-jAE220_+(06jI+`T@0y)Ui?0)wKj3#kOJDu#bxeZ11Xi;RbR)tOf?Ps2XYKuQ;=kI4p)E}7QMl+(W4v@2SL>>a{daB2Wsy65K$iXL+VBmq;H`7Om-sIebWtuvyqP8+uj3ZKl?A|61h`l^BU~1kk|*yA*N`7s2hoGK;zd2OhQc^s;VD z^C@;|vFJi|Oh{&;PXy=~|59aaWa4`ZF&E)tYW#z+(2C<+?v8rAZR-}!2q8f_ruQS~Sr{2%cLP3gI08~BL zwOTGR0D*dS=MuORXcsGMX~R72!iQS>KULmW_iY2~ZnB@n=?z59sPK_7^s5W})<01T zu>!J{wXQ{crM$`z^GZ4A!#~Ck@~-<}i!C2H{7GW;(aB6f3jD?+T4ad2j_9Zne$ry^ z3DMGQK;2~fCU+VGf<`wBx)ZRiE~P2=+X9xTw|=?Xsr#l7KtJVP?dv~( z+EM#E)*BN1)Pe!$aJ&X5CD+Kxi-PU))x#$8u56>F5*l>H8GF>JD)r455UYO6)(K&kpUA9{M8>Nc*n51#%Rz1SKqux4`e4n3S4u&!>$V67aZT+v5V_ zQKU6vCIR|*wRiQbtBTj5X&8r2nJ=bO8ENS*k*u98R{KS?bON|Ymtb?~EhV-;?y|DP z78rJhbGHOnuwp~PIuvJZ9TSov$Nimbp^|}CR{cMvzt0BTNMGxLmu@FmQTxMZW^=Q7 zjj-I9-j|n_V!9|dvq@i!zY1-gVwH{QFKqrV@w-jkd4e+~CcRg5zA|hXJtjZHzzr#+ zwc?+O3{b@!Q&B)-@Y;X-@n+m9M*DI9cA(h>I~TCHgxdcQ!)lwoLh;>5=xN?mP)qQ8 zFh;%@uFEZq5HAj5&72&{CxK*|vBqRcrK*Bvsury@w4wtV1}Vr!MrYSh36w#n0LBMa zS~|7mzdfe5S#&8O{u_yiM2dgJDbNWGzxf-|vG+|2F#yQ)>&$Gae{i`&B%hS-x}zbc z_YfC!(4z`}w&Hzn(5{Xjk(j3%i)4Y*w z(7rAKq?~GEko>ML%_CRh+mK1vW98J*^dd~vt6#dH$nl}1$koAGA@?^cEwnSgG+$wF66ra&h zPodmn$2Mg=?U(XUzA!S27?>wJ)s-v-{phmFof3L&&Z~y!n;GepXz2&*E*qiO;VCmz zjU=zBj!BfvAiYE#X|gJL>`0d>%bb@U0;EgLfQDT@Ya&)5^7Ioy5w6b#b0nVDi)iP; z?)UvM)x}wo3=eVg@h@}}E~?JqB`;vUfoa$-$X zEG7Bn=C=&Ln4<3=X*>o8VqD;$A{*hy``iRuDe|8n29TchpD6B*_p{LZ-8(7TRUU`0 zCq$bc_M-0;@t9-6U!)#=vU!coJMrx&zg)Yz1nv($BE-RdAZfH7rbebKrc(EHF(aKF z#rT7Ni|0IfSm!2Ip(92-zPLz!zcOs-N>xl1WGmzdN0LeQ+_uwas7e#sl`tT1NIt7< z2pJZWGlO`8D14AAhjpQ)TL==fKR7KTfe2MS30nL1V!cD+qW1dH4$nFcBueSZZz=8m zQ;`3V1Jj-7fu_(tQvHO@0pkbg>8&ItlkMJ0B?LHNLQMU!YO4(#P7M&R&M@>U@K+nV zD>6j?2Rg37!9;=IjinVfJCdYYUA#Zezqt2iV}b_sw=R5hM)_NkrpdHUq*IJkWWzT` z(HX*9gTuf~fv*>yG`-Xmto8s&Zjkit-)I_%DY3w*Isjq{6OGO#$&QIO?*EcTK?{|j z$NCyUm0Vz62UcF__NO#(0{vEruprHLFfb5d=nCDWg5E*gv~^mnZHIr6#x+8|fAO23 z(Sf;yfOcH`)R#0OdgmbiY3}E+@be<1bv^=h9UTFc4M6RjBr3K~0JbB1<)r z(*gav^R~R6X z-LS^!@9`Z*ETlOvO|xSnhY`z*iW2!Zc^paozAhSC(3M}UARRr7lTL6oFOp=p9o!$I z!J!^3EnXoLMw%Q@(*Rs**`uoUzG} zAfe0FK$L`U{7-S(xhJ~)^?sD*)s#|q^*K3%}x9_r&*pgn7wZ$=M}IIyO)E7PPJSYq(4=m z|8K1BO{8u*Wi-jbaBS-s@yJwMxmc~+!(AI?7*#;x2z>t~q@tmfzikFek^h%{O7YXy zQ9o(y_8}{H{1@*2(tx-@x8%uR%x=wP58YI%_x2h?@{}AL3WJ7 zaE_s)1+st-|IT2U$H3|{ib>Far$H)}V67P?fsXcHG6vu}O&d~0t{RDDkKF`do$b zQG~iWK1D=g+P_l@>?(~bJny0|_3P!kI09kt3u1VlaP$7_;aF2za#0Ti@SFD7mfCBA zl!iP*U1r6g)i}}-7)eA+P&a18?5%q1fccrhqK*dh4Fyz5rM#e*Q7OLOr~8$eCV2Nv zD6hoSg9`b>wBn{V%i{_*+KRd;ou$kQ+_g{>Wxhw7Jl2`IipQ;F$&5ZJNdj8MqfY{C z56Jaz-*ej;TuYMoGZfD-=QU`=xzc{0x>%6J)zBZTGpm5lBG>-Vx9rzec!0%_EJSmu z(_(i#5U;f+`070W^v`l4u1`G*_len2(vxN_Q@s08J!Zg=nj=nqZ#0km zIO047eCH_NRdHIQ16$wbndt)N{u1@acYa{+a!*7q8`sQrIrmkLbh4Tx9-ECrKt+RdiNnrVwnY=P`xyd;zccQ(e;2W2F0- zc$KDFjQvbJzw`Ed=4)Z0zT+uTF?Ty<@6Vhj3+x^nswIblxftg&uJof7;CTyYrfGa? zfUpQ2)SgJ@5tCYb^bUzblUViVWy*QVK9X`1vt{+=aE6aT-((}qySVL5>R(j}Yo#||(-yeiv zxnmyVY&Nie)yO@5?dPhwivQqrrUW4()&+Dsb=BA!(sZEzndFZS!iJ3CWSh`;h*@t_ zJY(YQ`<)eU`73OEIf4kNP_ghJZeMtg7Iu>NLE3`t7lfn6f1csXXFg*lmuH3p#|mG% zpJG`ClGygjM5Sf*&$;8I2^!tiVw?YBGtnZT)?vTgg@w2ypX;pWwma!RCq5p!oW`lR z3jQ}_?49A~`zD3jKW{H!`$#E}?ce1c`?0*rM|hGhrUPx*zMR1aR?-;e=IL1CaVHKJ z(^(z@o1(|4JIkC`oacp7b-ZRJ6szh_v&MGXvvj11NyoLj9G`Pd@fP;$fQ`)Q%O)qaVo)mGRK?P;TPH-}CSN2>$8v3w>UE&bGIg z^SRUpD$k4X%=wShWU7?dg(AxBZ%uT2I%|H5NLpcW>{YSNGBZu1QRJI@WE;PdKy)$eQp&73k^PoK>lwKdD=^qIGAt8T$Cp>nx zxKZAdPhe2i*b&HHuuD!lNH?Crlzi=md0_nMquvN#{{BW8mD>UB&Kte=7!h9ui0>VV z)c=_$WKfXpttC&A$l9st>3XKxIUtuQM)Lb`LQ5-bS);S?aRMrKX@%*7Oz>7|g%7>; z!o&@Y7cv7iOO)+P2~P<*b|bPF9}O-Wh#r=sXygzaQG(|H6xYf$c}BQ6bN|dWd+d3K z+*lrcTd-PVEUxB)tbbZzlFZkf&4GTlg`=n2usbWr$@4h|j~4^#uZ=3jN{bH$b>nI)CVf!KCXjVur-uEa zJLXT_)M=p^h#tf%CqR*gzG+gNz6 zzuy@R{Pk6b<=($HM;;9%hr`P&An@#8qvYn)kC-*t@(+fTwd$YmlTy<6XtvH^$wSrE zx!e4BVKNDR1iIL+d9kl@eWu$6KCnEj$Wmnyku++$%j8~ZfYt!w&GFVq25Drm;!SYsL`b_kS@2gN_cU8`HaP2 z$y+cvYSHk@>n{7~Lhr*LcfXaKX-R9aY1CY9;ho?FNH~mqyZWLCwj?Fm`~)48fu~;d z_U=%~!sVT_n6o$$1MZ_UEM#_o=7=j<>WJQ>^scD0_%6$zby_K@0QW>uMs&_quG;8lyr(w1$aiqN6k|H6; z2t8jV_}NCdQ*D1LCT_}4;G*>8GsBpP4jZE;HMi(6!Hxj-hjBf30qj_ynXAVumXJe3 zj>I!Xqwax@df2NR?seiz*~`m*#a}HOYE`d-vy6p)?7GORi|FM$6&@pNfvkpe>gCWV zTkdG6WoF$~o(X~?XNi!?1To@Jv`LY1LTH??yW60tLPHWe5+m1jp}>cG_*3zlwOJ^~ z%&WnH%H(g;`wvd4D-^*kJ8+p0%m*)EjqvV#47NI(Va74NHv%7qzr#2V>#R2eHCdB& zEsmt&%o@dvl?JEk{R4@y#hVrTQQlce;3uHJ;y*l!T;e3;%S)O4xW)0qm&SN)+X zpDJR-P^3R#{q{F-PNX)jCW3RDLs1rfn5h{oGW=?6{Q4ROFzHiYPA@qEY~oWEfLzP6 zaYMuzTj#>~2TZxftu-z9*0j%JYRXFB@oSjy4iSQ%;$y??P@{?~?7$jL%Q1@S-*9{kQZvsiFqRoOOv~3(>83J0ufd)qSQIbc~KN7m0={ zUO@WR1+Do;b#_B%Lmjk!N*OS7?$WLl)OYh=Lmj zjT*+p4Q$gWEKSeVbMT=<{AFbjAqxvQ1TYm`->zy7zg_Q7MPN-@vjq+g#apa`jd_vW zLGa#==!y!sjBA-e)m6mt!W4Y35a9T>meQf`!{T-rIyv))Ie1CUCqEfpetqGQ8+7MK zkKwE(q6T|I_KZkv`r}wd!KGjby*T}>LQhUvjhgljYXwGDKuJgH;CR+)PtST5_^I(G z>dpFw_!RIFO$Ghp|qB^B#NK zS;Za4aEvHSu>W{X7|9xItU}&#Cxhn0f;vXc)0o)10{jVmx5<@ZQ_lJvgd0xJx?qjX zdu31%9;(>tfhFE=LI#>$W#LQJ+gI)La-?_2^u@@w%zAN$*hWQ=V*m%?39qbVS(v8biN=zC-i00`O%S06Ps|6uD zftI}tw-z@zh5hMax3u7W%41QGvC@~YsR_x^azgp!`MaFM;U1%x2F_C7G+_dxii~4^ zu81mnM1`W;^>sK|y$%zOhH9l0)YBW~S409f+PSqUk%OrwBA6L8ed0AF z-usv;pMI33wN2c6v^g0|_2(BM&D=TMoG2~s*7G;O#S~4a3X$H2(5n}1A+>uG%-~L8 zN0tLx+{dr685$lUd=Ev((|Q;+-QHjM0!IF7anJWYf_BB-g;qNQq^>?eNm3r*9?D=d5N`O}sxxY) z=N|^B=xFr1+}3y9y{iR(s5Yi?Fq)$U*Sx!F?~fIv{DPRGw^|S0B1C9Yv)Kg$_gY)F zg6s6DGlKMV*i{qbvge7sZm; zvy;RO07@RG6^Fsl!!W(f&iolE$}U8OUVbw22kkY@NrL~<6#H;##L3?^EK;*t)kGom z!}dyvQH37G37OiTmKPD@9ixn6aAU;0R3!fgJRzE<#tYp*SAp*jxmen8z~H0KieM_h zCB;fo8YpKAn!p@oCJfo~g~=l7*LR}x^1VI*24`ce2#`JAfA#%Ind`q0gyKo|+8*0*dwZH#j5_ot}@Etjp-V88c<{M%}QqM=i&^rLK6p)->;E zn@QJ}4=P2Qwo;O#eZ{a3e;pbx#uSZQ4Bm8f?A|y6Zjwh4yER9A3;k8c3wNNI6*2uC zHpR*~DdMYImNoFRSEJLTSkq9%L2(qU#BxWitWa-i+Sz`LV;Fm2jNpCC{Rw9}8e@Io zz{r2*^*3;yw3IsRd>iVnHZ~rVR+}nc=6U}#hnyRjaT#59^{Zm;y~8VneqABVzacl}5bW)^<2 z%%3NuMj*YXu{6B66ndn%%yQh9)4WU4SuIG1W}go)Su0U2hweo{cS^`Gzin?P*#)J7 zhmfE|$rMpztE*_JVaIxhg!vE_@;bhB^?G4eXl*|9XnI!pBGA`M%X`iG8aat27+(A9 zJ1;~w?6{hme9tTYn!uQGgD5jRFm_A39Z^==z9Y1g(KxYInJ3+HTYzj`oa;EX$DE=xNk$`;f*T8+>7!`Wf_qlC#)btZK!i3&qk#Ls zA!TD#B^c9&)xA|y*8-0dF=?4EH~v@Ki93G=Ncou@Yoa9 z{n0us*j;L#COG0XEGxk0O63R4RB2n7ESCBL^siNz&85l7ameSc$3hjuFuNGURA6n| zP*);HZ~9+8Zb_i7bMr6KBmvk|jD|7Nr7l*Kf)0M49vd{wlrTY%75lD-1PWlQ>_lD8C00p4}ZJTmi>$AL#K+SS!<7hHFIZ`G+fC> z38WK+eE-6UG`<)$Rqy>RYdPKngKpMn?H77{(1?5psg1G;IPNj^6-gNC*g%#QGXBNu z@$b6-ifcqW>S(TKynIqRBWlJ*vR&Vts;J@{W`#v~Cq9bi6J`=IAsFcZ!a`MzQa9Oa zPeJexPcaz!9N&(CT&(S<I3j53i6LJFzxku!wV_3HKoeK=az$Lob6y zi6b#Xk~d6`u;R@dN#mAzPj$wi>0ZXB7~;B>DTubQu`u}`lQGkzN4AlM(N(5RMaUi> zo>5$%2xu$m-eT8`0f!ZYoQz4F#_34t#hcL|hX$M3 zb)Ecy!^I7Y|H&~~8XVtUDP*8@x1r(H@tDJA1ZGvRM?IU4#j2yh{ zQQgxj2J+*m*|+jrG+=7z)V#y1HzS-nkm~X0_wtWnFvu}I?1seobdqGVsAi3ZW#h zT#tz;ZJ4WXSlrA;x4>t#!^LZzsi<9lF2U!e+K2p>?ktoVK4%Jmobv)lEHC``+%+lVO@5AEms}mTtEqb-SYD{cd-;!y;Mn^FWYiu%;hbX%jSmk(NPjD82lxmR zzOK&R^;CjYE;S@Z!fPk22@Bm9Vy@(#KKK#4U$YKN7RB&O@c^h*cC1K$p0uQ&%h(Er zS$$w5eyTP4&Ez8JwxUY12S8dON(haj9V;&Beplz2T|WWJ6*h`rJ%iNm1$IrHxwPWx*Jm>`#p76Z zw!(-+|4%{pr-SZS)t`o|c{*farm#jinMd`%1uX^S6Cuo^-7o=Myh>7LR;j@a>Q~34 zq-Ob~;;c+;EW27hSrLilr0;*$$%BXI*C0Rgbf^amlvzJ}f~`JNU^bT~<(Mu{d4QXh z(jsrmTeM!Ca;i?dNV|sRH(UqV+e140-hoRgJveDgi$v%=q>+$aLqga;;gnHxxjJ#r zi>zMI{UR%+fAa9jJQ4ftQ39c}qw|L-P<}AGswAeiD)LwDOt)fgHChB8u;@`r>lI@M zlsED#8+Z9*un9deN^VHRB&uUy{VfPmE@%{Cp^V&ITF*QrMvHFUBf#@2VubleFVYu>RWG&_)~irt_0)=z_xMQ- zD#MEi3*}AkDe3B{HXDHrKvz5R5CIW5{wxlQ`B+rHl<#xrG&#G34%DB*MWIh56nrkI zi}g=Xa{8fPKQ-Y`%AnORob%F8N#wYDgF#;|$Pk~4g)R$!(hh3_W;PREN+P4%pFjDA z=HQh&A|kH`*T2F$2(dZ@P22rSRb+{e?vksVjF3Igx^E?pB_FJI)P~`Z*jv`3iQYkp z=a}h%)e1blok4B2Iq1r7bcU)fWg2g*G{8t6tK)`dFMx*9M32Y~C%7k0ddt`M-C4ap zmCvU0!f5|Gz(BIxs`aEdXBSO=nk;Y9CfVtNpYP1#LNe8#*1z%auu4Xl`f$Ie_h3<# zcwT-whoWfsHp+1SZA_!|+o=8yIT7DV>O%_j}2Uk(ueL z2{wUxjNS21+P%ka;GTk?5ZDji5Ta%GsRNwumDK%toM`TK)KHeR04P1I1eb*3E6DZLl&HiCI50+d0b} zeh2g;yN>B$fri0}z;Nwp-bwxDQFZf@SBPXqee$h_u<0Ptbt-~)^S56p)K#%-vizzW zO%3Prspxhhp2T7t`><^GahobBhH|p<8ckr632i?Dh|eZuD8TMmrpaWvdSi(|HdGzsktrte+R!wG5Qk_~EO-A|k z#bT?RVt*cw+%(pCRUMSi*AwfjYqg6B!i)tm(w`ffh&j19K14MDfV6n2ZE=6h4IofjK})Z%mPCpha3K!U#0f*N}+rq$)uY=2xeR_Z1Sy43w*jD#-V%bTgSG7RQ)6EMyQ(bL3wEF2Ef3>+PyDQa=NFKiRG{ITyq9od zF)u#{kNIP>x!3C6Y|Uf8JZi=r8YD#S%GEql6djSw+G$XZsLC1^u6Fu0-JV=YTdT~w zcmSn-s0Fu`g%6<$+7W%GAhhAfCXLaSC$-6ToNZ5TrC9j-BQ^4X()NH>9Gf)1=rEzi zv$Kzwcg-$ul#Jz+XS%xYmj_TYK3{JsUR17%Ix@FtMQCHt+*cN*UrbsMe>_l-dmI~+ zG5F}Gpno%Xp7cJ^T*f=^WKy{fx5&lS3NC8)XeXNqGj`m^A2HVJ@E$YYa@d!$O=TF< zgq-M7?j)_8lVCHgVV&Ba?$x#B-Xfq3qV;a97|nQNbniER3wywaMf4S*<=u$EM)tAw zrDI5`>-irORUx|Apgj4HORkgFr@8QoVqNVm`$R3cxo`SD-iaHs_R4T*CdG9%;nu;W3`S+;jVwbyuOBy~`4po$cUnaf~KD7qet3O#~^?8Ijk&JL4 zTHci7Rvx&sKiQprkvny(-t5N`W42A|Sfsu>b{ns5@o|0v+ztrz#1Hq;E_`<=8t~E> zq21Z{YoS*;Kz)6bxUqbfB>$qmB{ZP3*p*$g?_m9bujW*-<3^}o1D#rsmSf$4620X? zq`tL?^UBG`{0%EomW|2b39c&UHYSSz<54}F0mTI0+Tdgh8ivfoSY|6M;f(Fe%Sa8C zebc1PNNDLskSwryn1b;DZWl4?*BOnWQ;Ol$3Jg%iR$J-yNLsvo^5H=*A8u7%farx5 zH+>N|!d0Ue=6bZncC`{t=eUp*e@u}nudS=f=e zdxy`tz0u6Fd%)0;yGe$gi=CZ)_4)~gxquE~9nucOJX6Le?jStsV`ks#gU z>;>tWYQ+wMpQB1!=3lxZ1>7avAR9v4h~IT@F$&Zq*|FNyWm(jn5dEexaUZ}G^N{f> zPsBzNrnZDpquZ0z3-e!NB~?aNu*W$2)P%KJFSTo})P6}W7YVW{J(;a*g)bMp83m;M z<#%xgxO7? z6WR_q5HdWhUwsJ7x9+-b@OA13v7?&(OAQ``Fw!?=sJ)2|u=aUpc zyWg)1XP;A8FP!Q*pM3^iKCD%chEFg&7kDGo@0uyXPEGkafRH`OZh-{*5W)p9$4O@r z+Kb=I^G=UHe22qPnK$W{qcFuKRbZX5D!3i54D(T!wxcK9_~oXVO@mv-XwWHoRGoUn zFjmC-;(~~9Bab0I%D-Fm;y9NY^5^2*NsgI$s!G9gAZfta_{Hn>`q` z+%=qn$(9SNe0nlIQDQ$HMvlkKEATUyjULSBH6C5=$?FCm&Wi>pwp;HT0S3*Q-Ry=> z?BwRVcPu-{9Fu+z{Y?AxONwEvT8OTg3pZP2BllK=7yF@eXoI%PnlvOq*~n)FO--#snAMc3dqkXMeHB z^D_uNZJCf)5_o^FKmfCSqpq;%&?qZs1;p!r8ai>z#E9~Z$A z!yD{q7w4MM+CSf6SFUe0)gPsr-@-rc>Afl>Ai0QyvOQaRm z@~3(a28KVU2=>W#V;9V%D&-53vQKW*+B;~e-Y(^Gf$)>skcJc2s9%;NK!xe~^#j6d z&r@HuEyVx60PCr`nf+P1K-{q5ssYe%o|gNl{POT{E+kOSRXt86FK0gcL2gmQYAj)) z%}@KVty|A8;zzn7pmI1`x1Jf)Qm{JdhcjxrI@La5)NC4O`TQos-8bCk)`wWA9v|HY z@erb>A27pTQ85*%dyj4l;H^3=P=H}2@Kes&kkgRualh8(Dg3|fuaP7tG42g~N(=zDXH;QEzGF?0&x(_NQcOD`- z?>?>^t{uSSnS8q~-@!Bch8a<#-UEDEXTjyN=aSBMWEdlM79u{W=SMi!etwb)V4f9< z*j!eM*~P4ju&D5dm9zHR>%U@AGD(=|RMrDEZoFj;-KkzW*^s{)JUeQj#IDNxbg;0e z7E;lPr6$Zn_3|V}sxliCxvu-z7|i|m593z8nE`F>Rx?phk9y%QSnVTVW#&5D_$%3< z%e}|@E=ktSJ52nAG^#wEq6 z-a1&$5Id_Lr%>&J-BqHg8X(}=esb`oWQf=p5s9T60o5`GRE-g0Fa=7oyM$Cer$cqF ziS>OR#qx$nX_UtfXJAw%Z<!E5L>-{>6txF3sx;xdHt6^s%;n?DuhS59yG;9X zNpXgIRY%EJ&-(a%7Us{*avJ{YV1qwt)=n@GQ0Li57W+ zXaUz_{d1Q?qT!dPXC>=eifO5}x-EncM;9K+yN`%e$--3;K5PCITKRjMU=7UrjAOC* zgG1Vd7F-NA#r5d}2ChcWdxwtiTJE7*R2Y}$Wh#7nJ2gd0fKrVD&AMQs1ZB<1^0V~< zJRuLgG*o1+XZRD$ndTpP9%h5(&l0|5|aapM2x!dsS2 zi(AlTNeLMA3wHqlRQ(LxnQ?~`A!Q*tTSr#SH#kD#i9eis%dw#I^VwByNUpOfMSi|7 zHmMn+jAO%U4m>bU_w`-wU%oy9z87uG?rZ9dv%Yb9 zEM`Gl?C>UAqp&&lVY?>0g2R@zU^lB2I;RpAq@qCW9=!^Geex<6lOFNs;;cqxLGU#5 zLQ~tUYf%nPu!eJ2Jylvbko)W86(w~jW`QjHOmI~bet4gNYX_%IBl7>z_10lgb=~{; z3?U*2f>NR&EnT7l5-JKvH%JXqDkUjW2S*W91`&iqqafWNog)e&CEYFEAPqC$J@`DI z_kDlY_2Un&bLQ+byVl-o?X~W8k6KRyI>mZiiqjBMDJ~P1JMx?la_C~2n`E!w(3rNA z<#?dkC)`6cFfgopMf#y{IzC36y_eH4>N;+01^*`DO(Yo?)t>E%p~H3`@*xlE1K%XMc+2_-G`M#)_9^?n>wG; z6m3yMi@;_|IX-LbI6rxPcKMKbd2NVxsS95yz=*F;M2+^n-_LI));@e!BF_1{i;2c| zK6~Z{$E1hj*%fKS^uY+ySba1TMZ?$zkKrP)m+WgvRHRFtY<2y-AI%JMZr*t`V`>=#T)zF>&&0)j)f>4m3$3+GsJmZO9P>n39M3}J$|WZeHY%G0l&^(@upaZ zuSN`DOVa(wBk$#!IHfQ@yH%DmWK_P0bW)gMZCEHojmh4ucT}WTjjK&Po`%d~)-4N) zufO|FNVAVt6rzf)4DxT>ogef1rhAxX=o4X-KeK(EzwDj#$##<2sU3(t!CeV*G+lbPZ|{mi+?-G=!d((HJF`OkKE5^D@5gCNGl;J zMQorgr=cXhJ?ou%TP)PgZ(*M9+p%@2#(}kvWlU;8Rc3v09eaZi-Zhg=xE*i4V97_G zD%3yZvFyrwc(JJPoR$#wfuILCM=)c->5chb(}H2XgK~c3zkrx^F7oZV`={^ii3Y`# zREd|CTVri>Y!@zAS2~;hc;@5zCQ!~ij=biAgrtNDbO!Y-@%cflu)D&APe<8xm@*PG z>Fd;7hLJs9DI5>>uQugvwZ5KHz1eML8%*l0WbibG6hj_H8oRdN!w@57R!$^6y4}dm z7(ZZ`FIcR%Tw8lo#e-!zy-*STfyHw`!uJ!)xA3v(_LOUtOf<7n^=6Eg0v!QA(XUn-vX_iqhd#Yiymnt3FiMMQb1Gb;cn+$kdB}`&J?9e9rYyx#x=~DIPin z*56J2MiR+}eU(G@KCjZ6yd)#7cc=x8%?X#ovLB|sNso+}-dN>Wh{(_TChH~>bYEiv z7v{6DYp;n`Gh}^ySS}^r*eIHn8;i&>rMHiz zwZBypPFfkaU7PW(LP7b8c4Y))p42sc0&EB~R$Rb)%%`ipluFC02kS=D?_tE8^fSY1 z#}aod-}Rac<@k9UtF3hH=VN!?2ChGIut|x2j=W##bw;=4jC5_4fZ>H|D{5~YQFlpF z)*{>Q%AT&}am*Szi7m+;G~*g^*?Fdl@LWPuMfIhOhW99)mgP|CwI!&-D0a-On3bhU z*1k|*gsJYxp(5hv*meH3Z4aAQ9jOBSUud&8eduVHa0tn2HL`1qi(9Ke2{>Y?1hrA) z8Y0V0Z-&~vX|qc?CQ-EZzo(2U=EnE>JvjT9`L`^Xjrsa~*96;6#cphK7pFc*9ogfu z!FEc!`^5?sq8{mX2}0OTO){Otr#O->G1Q}(YkA2wir?y_ts`HNWTl5GF1DxTY&(fB zdl)i*<)*l*;M;F3DJU-@Bj2owjH6QmZh)(Q+qh&wpUsEOgqGi_FB^JqsIj}ZC6=0m!1aXcBM}ite!(Vyu9h8Nr*a~05Qiray z_69mcyVkp1K%_?vEFXq7pe>l4FF|PJWo^_>A8wZp_-z*-9u}Yu*MM;Z!nIlA*0CR0 z>S&YHjPf6GF}fpgB*aSj=|{qasN`Zj3}h7eV~?ng0#gDa$ZjON;dC2MhgKaQoft2} z>nncSvc|ToOBAx|v(o!Nbd808Oj=><635?ONu6ReL1TXT<-0)aAj|_?9mnsV6=;JV zT=X+^W=ABo0&g$*d^_66&zl?v3^sv;#==6A8WNqnm+|qY7ZZp07SNAMmk!B$7v$!t znxB+^7<_Wb=wF&B6^3%Xt*EfZ(;tKX@S?%~sD4d)6C7z_Xw`xR|bzsTy*4 z*XYm)Iu&l1|830o3l4o!!ocUss0N&!+N75nQ>!6n{=sH6pg6j6CXu?vJmZ^B2#c-_ zOT|&5MnEBzhUa$Kr5^n~DI@V9Va$^9t)!7c9_nVV&j=JV-^?Zfa)Ks)NocU8t#MIs3kbwY|Uy zJAQQn=%K~N!=t?~J%K~Rg(TjR0D2YfaTrXJ^(eQ4%h3AJpcB95w$g&8lW|cNL0Oxv z^cXiJ8U1|5hRvseCO@jRj5*ZS(oHSUJ%K-@Z<>6P*1*q4mDzdmG2&W>{97Cc)7IL(?Fq-)k z#nkKTSw2#zVbEx6xBj^TwX`(0$#dBG`*RF`glVI1;ZoDzNKK%DIkOMCugKacpPpR* ztcD)B$EtznQaCE&wX|6{ia#i?+ZSQ-ZVpnUr!-#U;q3pTTZAf|kYdkW^1Gr;Z@-B9 zeVRN{Ew_UW`&Ie68H~!3%wLctQF~C?Gw=<+nc~~fT~oqv<71Y3_PxX~l>e@)C9S>n z&W#?c@ILX zxyjv+BI(+N-GlU9Yr1_;UfD~{Dr!Qv!e4syn2klRf9crf<5Cgom_OHqevk6M>{dx@ z?+dhX+i8i3qn+er-RQF9_gnjgMUO|Zwk{e5JD-w@b#3c!=-p+*q8sQvB&u*dLuQw- z8$!hz+cqTRuiOvL(%O3i4Ab_D2GOwAtHpA5GB_ z{DA|HLs9+Na%|YS>9nDDDb^(>etPI@3t~P8DJ!=FN*3&U$ftiU*gMcJK{Xo>8qpbP z;yKmfSIO!Bkg0E)M3j{NWCHuAr7hmEeW-h&b*&^eA2)M1*2Fq<@8Dnax!ex7H-7Mp zcP7cq6>_j?d;GF1XXV8%Yg848cc1C8?BH3H!Dl$ydy1@VA`at{>{p7LpKTiDYnpx^ zjm_JPi=O3O-x5SgOjQ zx5Dn0a^x}QI{$8GPkQqB8@33AAm?U5ke^t? zmysov9^oPzv&I0tfZ0yu&Pcxig-5@zpVZOLpIu;m@U-i-$g#Rv31E)m86^4bC+QC# zjRZ#W7Y|#ldaA&TN+RRo>90vRuy^g`-bSL%;|rnHNx^NK!)o+;;REXe+bc>6A^$j=3xq@~<_(5-+LM1LVMJRD@Tbt}|O`jRaG3lq!~ z^Qfbu3hH89t$T5=^kO=g9`S-KiiR461I=~vne;sKc64=z-yBu*gYrKW1$7%$`+=Yt zvE-*dmG&t-y=Y+rf{O2PCID{1TyP{GZoJS29UkFG=$fDqr-+NYm1f1X!9rNC1=%l- zsRAb~w7o)6{%0u6WsRH`GZxo*DAbahk8r+x6lZx>^J)*oJTQH{0KRmHlQ9EU#}Tcd zQ(|D}6hDlbeuRmgtDdjvzoH&1z(}Sci2&UaS(tGd$zCK_x#twKWobo$mHQ0pK5xBl zD}WWVJl`vOA5dK`eUbZ~_m&&xg{c=CPK@QrhC+sR9_PsQ`5WS);gr)KH~N;EZo5sy zh+qs1c939MWHuhE_j()UtDO5xoDi-%rIfEc;}L|nlWVlwV;$D@wrd3;ifdP+-seb3 z8XGEmTp{nB3o=ZY+syD9&4c=C_sy-b`Gv;$o(N9HHDw^B6-H3!Uvvb)UzyC>enXO$ zHBjxu&{ziZ(6DxK*b>NvP(zXOT$^{hKQC%*g$=X$wP8R@$!h*!K^A(Eki!G6-5c> zn(tpD2MDoCSpQgo{v?2}NdBz7`K%&5q9k$O?Sh5Jr3VGe6u~vJ8Sg0 zwc!pl@!g}jt;X*^OPx6`+1M?FxWl;b3wFIpif|65%B%4Zx4H4jdG-s1?L?Wboa5xP zwsElg&=}x~jg(7fujG6bimISS{CX$A2+o!7Q50N*Bb(+BShU;MI+|i!eXje_Q`Yxk za@41XglFgQZKc#dg~V{?ee56P1PW+MIq`>-zlrS&6JgT4)T(S1$&Sd zLwgyZXULA5#0uMTB;n#yHe6BAD!r?^G7t0}7ZkN%k5CfHW`E3%rB`eg z2s*9hG2}x}8UAB*dPUXqY+~PO(~-a#$SS;NVAt56!bhF z)tz;at6$CiDwz7ni=g+M{ejDkonpp90Nl^)&Qx=xz4OTwP1-Y{ez9kO&l3A}!T#+< ziqr%u=>uq!wq@NSvB>w8mP~3G%4vA)A4Qk_rOtb2oyi7!bt|;d^h90OyS57?0_zzu zv7m!Lch6+myNn%l?1hjHbj>=!jBL0`K0$U zXNdQ81riL`f%L!1?Gp(Fci~VRNu|3r_Hk1#>Tk9Zlwb3QF^y!$?s(ZShSv`HF(d+3 zekG6b)`QB50_>;Dg{XCWfoE;}?pm>cVTJ0TV_N5%TAGWS2|=+-K@U^anxBvZfrwdI zc{Dm(EKJX2ruqnF|e$JXR6m`OSRBgdpr%#2kA^II~qS&#yxV_F%)X8!yH zGY`dyP*faSBpo$4m_T^ZOcLe_j>(@1t3co2j&=Z$K1)?|tnm3qhU9Rf((w@f-y;>& zJGPf1)*}PBq&5SqpEmtGr@Ggo3)RZyR9~uC%In-u&XHsIV_>w?Z$(RK?D?2&FglGy zT2WBkjbcq6?F+zt@R#C>B(BPkufO1|Ya61al)=IlTFv`O=H zfGg1|B#ixBHb7Dy&0T?8VUEsVFNko=(bZW0xpTwdfn@yljtftY++gW@%@5Pek8;gh z-WKDJPUAQtppl115p!;tt*Lt4<1WwocLixFLrIPENtnH~_h|;HZzLHtz9Vw|fXeIh zErYDbvJW(yJ^|&Hp84rb_hVSAwr_(U*2&Z)%5j_Tlzp8}uAtfXuJ@{TEDPVTlIxLY z(e&ey%8m{GjJAryQfZSyyumhqlYP^A?$ufVSx>qM@w`p=`ozn}TF0Cadu8qREpwV! z6&}-8bKOeAT4DElesTS@R*8z_`=GV{{bzsfZ9R|#ow&`qJf!5Dl*OEp%lal+ooja? z*W-vxSwxu0dRXRp+Y8!wa_mY6lBRdP&9;O%%FZaIWe6bKyYEs}fw!rr< zMy4;2G;$XFM&3cFH8vrgq+nn%U6?}Ot%)K+MQodol{(@b4Mn4w_3O{svu0wd*`1Yn zyE?Gf<6I`k&x#;HPZ*M7=SF9FUz37T7=`tL4k{Tq?EMAIgwwc}Btu{tJ`wB$Fa;C< z#qQ4fhIg)>&AMGRXsXluInrs z-u9rLr^%}ZVFHHFNK_k|fbaVWbGPoYa59#RYbZ!N_HJ#Tb$fGJD#d_HkGtD1d1=pB z0Q<9Mef=qGPVGnLz6^m5TDOLNm+*sZM|+2){oaV{Tx$y&>y9I2>vg@J8t4p)69O`s zjk-LGkPJRrZQsrN=HDaw=7i6FgNer5M@~6L`5KE2uR1Ib($A@rS5?F_wGtNiOOkr} z!`BZRTPu41V{wrk&i48r3}n2Lfsm2(O7{yJY%g$j%&f>BiaA4p!Lvv9Z$QyazX zso@7eR>p^$8GmdaHlqW+=0M(rqc+)4wl%g5ZcdG>&jKO3g@&uwzVbc?=4wfrm^|8I z3a9Wzod2j;&So`vB!}r!$W@L9;8gvjAtBq7FwyNBe<;uHjTRL&9t+J&oPcosq4->y{E{{7Zv z%c!in;2;1vcr?<&ZK@qvu*{u>#8 zZje_w-{(r(cu!Ivm&DCBC+2h|A|yyBK3mNidjre_xb8Y#dW{uxJ_e+o?-K3mbI0F z{`T*H+5r1ASP-(BdpNgw+kOg3o;ljpt^!J_p-@b}mE0JvE72HJ0AcHplwTB_R&74Xqtll zw(0;Ab?e%15We`aM<2t%wWZh%-~}1S=_ptky`{EI`KqtUpz3&jt=lU{!#VJlDF|8t z-`zRZ2QK)0(lj?2oG$m0RN~JBOw)nOFQW?ea-2}CTrG6;{X&qFu5Gie+NVaWv~S^N zR|siWKM(}$S$^fZGV)6K*N0(O~?dS_9pWU@Ez zLjWu}w_p!<;rcF}@tGImC8V^0gEF`5h1=)|^t#KbgeIrb|Mk;J&n60A`=#~q*_L{S zSW2BM+;Sc6C$ZcgO>ff=CLzDOMi+S5`@)ydS#TO%`RY2lmoaF&5B2L<5<}>mJ&kpX zK$0O7iS+INACuG-=%e;!o)+M=etkj#R*gOMU{)@UR@c;(|(8a#-vRw&yuyU94_HdF(BRN&s?M8aP zHn6&_8v{-wk)fpCdT7DiBanZ4p();B|2*dv-!AA4wKQjxfT5{mPa*IUkTdK{vCqiR zNE)!(yx}y2}cEzOMnaTEFx~O=}J48b3v3<$0t0l+1kP+%Ap8 z`_QGgNe9)q3qDmli#5xxmLp;nB(Ww?_2;j1!Y#jX>S#f2^quDh)T|*G&%$qkBeWm^ zKvTZ>-^g2}2g~x^`Jmmk64?p6YnBamkkWVJMBosTq0N@1UyAHO><^^z592H13Q!C4 zU@#lrAE@NrJU+3E+Z`7>4%AVpJSz|B=P4z)Dimo2 z$aS4s=iDyfd1bSeM8w&zav7?b>Twxvh)Q`V=l?9Vlolr`;z zMX2XQgViH6q9+lBl~C8lTxpVGZB0!9stC*@cOeSoGeC`zjYiCQ6x_0L-$kLz*p<%A zqC^V-F&y)o4rb&K3ADp*6>CD$g}D1a-S=|}P=Ib>W$ewMSDTNg=y{-WM6) z)yewxsrqAx9CXw7?MY?}?S0Aipt5+CmaTwj^R%-eq?M<;X$nj%1pB74_VSqapV?mp zX1mFAlEsCf%d+QKg-o}lgk5Dv-p)x@4ID_=%+dzN(yTAfU%#ImaML7fn>@(lDu6Y{ zgjfP2Zhhj%`h=ol21sia@og-L4@*B`xUzMNj5a4z=3f1MM@mb6^= z`oKpLozjr_cscCDf5L9>h>_I2--xLRVF1MLSyk`CKN#$9+;bhY3e?he-8_7?$`b)% zNrm_-7O3!RPzTqZk8J!;$(YHVzA<0T8=@5-7FYfJ@GNOS|W%YEJCq11kM|H^-X+`N9(cJ zkR0Pt&Gon-Op@=jjO7HrQGUX12Kw97^alE`;MvlY(R`3+#X}d?#=ur5*L&kViM~JI z3!Rnh;lY0G*~9=Ai37HZKT7%UCY8Yo*M6oO|BRDhP(+<7AUN(LoVDNeCTbY_=7Y!( zcB=j!y}cqDD_Ay7yST9Ejdu&-Bg$Glm6N@`W8kK|L<0`ZoQx2N1SHc6#Ozw75Yj6e zO_e3MyXGQM;EQ^elbwnhK$b0Qq$L&|qCIw_0|Z)yTSlwA9zV)aP`v0(+iLN2u~%@* zL||bz6lHN^+{?;#7MQ4itd6rKfDgWsNqifVA;mG-`@4Tipnq}QLhWs_Y^VVPr7`rg z1A1)@wTmVs(1@0GT47_%LI^??in3PxcC_sDCR}2+X6&pLb~haKh5h_e0(%|PbCqm7 z?cz$qP)+oM^PRZWGB6q`-@tEap`Ua0f4oRzFeAsqNKxWEyJkkeq~-Ha+Y6NdLa!jb zi15Wg4*oF{*k?(F3ewUs2as3XjLW>kpftz>JtPNlQQ-Fzd|)-;Mdi6;xRlorsD64F zz1;Hf-?mMB{?qDspSc}v!BJ|jP99tFtwdy+1}^&+&p?ehB;VCg{WVHO=6p#WoihZW zfdpyyE9~61d=xDRvyx>Rd~IvX4QW?174h)Ek%(_6LT-W9WhVC=uKQ8e4QX6d76D(9 zDr2-S^q>Uyyy$NjZxZ=$OiYrKH?*Fuf-|u_O-J;a(gtq7QqGEh_&;7aQN_d69b1Ey zz4rBroMY%>9G(9Fh=NgqA!^dl@APX+yTxUibelc(?=pb?t^?6tfn(#Dlf2{-_PTm_ zmZ}7lXjOB$wTi+{e5YLw3R#GVj-_uqg`g83XaoEbV66Tm{#Q8pY|CE019@)(DMgQ- zK&@yF)gWT5q^_6>>qS1H%2BI8UePbmc`XvA=I_3%xAtp~XBbS!0 zl#&HjZ~wsFS)WVCZtlGLth|w6rh^7fir)Wo0Mv3~yZ?#sB0&7eaDb*j?X5$VP(O*0 z$Um{8PaN$2r^~)=tyC4D5Kti-`PGMt-y-(f$w7>0m=GgU5#)pz{kc4kr{@;B)k>iM zt|RMT=QOE5!+D3}e!m1LTc_}D(xEcfIhMCaCf-y$D<@M|$FmVF4Sz+9R+XO8aUhNk zA>_RA-*Om;QGHF0D*7wCs14viWL0UlFZcPcenQ6`ZRsZ@T}-&}7EkPoBAn#hLD&Rf z61J`8D}#bLY&i7OTb{6`fUzVo>l85(> zysN-DZhvf5qh?mFaj|4@C{Uc;MIT|aIt{Xw56QXZjFb-~6Hi6By{38%MP-6mZ2HmN z+CGy)YXI~*j>Wo^#c69rui$GuYbXBKG38ft#Wnx?=wu7%EuYPo|BAC-y7JfgOFrXq zJ+UNmFS9riV20AO43$zQ`NL5GuNGj`dLLg_N!4^VB6#wjwm@=5&Iivl&|4k~7gW(0 zpBpNVp2<3V5q?lh!vD>n<`}ueX$X)@HUUQY+YYY!AWBdE)Qp~TT;u9jXI9{<>Ny`6 z@>?$UB8?6k{r&T_OXnK8{@I0F@6+2`Di^?n*vxxpqrwxiCCA>S{y;m7 zCITv*!uhKSktk~Ji6^Ou;I{CQHhk{)d#$6F{_rBSTG5>Sl0RR)kVx*tyi1AyKhN!u zxKWNaI;D$4zw##t(0B%t`#FcF`k7}&=seE2Bp~j0PL`qRZtcE=&)Hz zp^d@6!13pffT1kIipA3k5ZK*~Ivu0l00P;zfaM@5=8krB$dt!hE9~H4X8EVPHF!U_ z4=MvJpE5VB;kQ{*0rT6_@(&wo^y;TS2D`?0o}J zJ*&b<(s{4)0Y3r3qUjB;sN)$B_I`JjTwlMX<<%=wXvccFSYXXh{x@8V z_$D0iGV%qp60dFRC?Xig5>J}y3t;^L#T&HDikQ=p4+@A*6u_MY)=HTQQB7t&2LU0^`2BNBKcj)KBDjc1 z-Wp+;1-|W9b6xEJF(@no29(4H@jaO(N+J*fkhEzXZ5(HD_9}_+EzOdVz9*X~V?|9B zqM~4uENg71b>}bh#URh-%4-WjCs95T76Zj3Yt`xA$y#b`t&`U(hss4(9IKtDzJdS> z-G8{FfW-Lrwhz|s1MY<5zXkMZ{^X=1ndfwL6Bd!}gRI0E5S?(c@fZHS8>wUW@ZB-o z{MwdUYp%}4b*!9=4NZU0>#=nstc%EJXRlh`ha!IPw-G6 z>TV2Z(hDfvx0X!3ltPyR@o^fW{-V>ogiQsel38K0w|cjsbl&tb&4T1g;A z&}q`j;uG|DuP$BxLShGH9T6k^1p^F$ud6)nwY65*8!0#=MRoift$?{Wy>^Eg-W929y;i1s z{8%YdW87#{7-{_n+$S;TM)5~N1kMZrajQW?`fNL?kg8kufg~!G7e_JQ4fte;&7(t- zHweR)w#4{Qic0Xp+xMufQ9?z6cO^z7YU8qZ{#^fM?^5)Y2_${HQbu2ugb22gQa+q} z{x3n7hle{>WVh+f)?bDIkR$K-q2?b35rn+%yMZ_X0#gaXKTmP~*t!PSS~7R#j0ikr zh`PRHH;|hJ+KBK^_mg#Nw_#1$b~VbD^h>!DDP1cuIlTL~+!AMmK>uu~KqQ3HLJfaE z;l*T_s&Yk6PWt!{1f#}atqxp5-B0W8G0|q*<#*4!-@qM$gAsNtT~6PjQ(T4uKPG$H z21-LZd;^S2{0gy}Wu(RwJDg_AWz-%YOJ?|weOmi2rumx$hPpA!MWcN0PQGO+#;gQY zsp&`AmydMVutW#YUhjL-O8zp<$KT%w;24E(XKXRJDGG2Iue%nH<>ZtPgp-2T?KKN? zL@Blz?xo3V1ngyNp8EbE=Y!HaPQwbn_Y}=bJrkA@TXs${c7DrNOoR-1gpa9q6Z*6u zmT#=W+9+rL%Ej5cd0$z`>8rh62y`Y0{}h!H!KVs7cOf*Lc{@JFVLOiJE7}pWHRce3 zYL%$= zaG-aHrUQrzV2S}0bScdME`ne&mH21%EiIre*23u)o%=|oA@JJ_Ya^F^r=a8MdNmEK zMzGhewx``*XF(u>7UHwp|6E600c?(tA3pPqJ>J_Lg+O>-Tj0jdStG_a8^(-7zEA5i zm=s(NKS>NEW7X>P$3`S&R&6hqODgW`saLaIHvt!vKlRE1Cu21w>H_+=R^~hs(qofOK<;-x?PxhpuPX6_JXR?t>;7t*|!zSB3?{&Q3lTZfu^&GvOAlJ zkMnah?j_C%cWn@Z-l+Fp`*YnNo7>BfS5sdlvtGiJ0+W#-D1F9w2tydY8AigqGY|co z{<3wSa7-l(VXL@0@fxn@+A7d~w2zakS6A4-;MjpAtd8vvs_P%u6EEFcGjBz!ZQ}3Q ze0DNmUAS~iR_HV!1XvML-*xp5!1L6BRrmWO=(F!xYbyeFM#5>+@ParC1^ushQez1~ zhIQP$rKu}*J&;%Jeu8-_?b6;>BNrtf=Coe*LZmB9W`eTCFO;3tSTAQ<@;wymfUsai6w-jcl2Iii`{H*O@VYEmOS-&~=fAA_#*on4@J zUFK#jGFw>@05j&Wh>aC6yd4m+-)%~ZShMOjRmwl8=Fm4zb1kWItzH}j!nNwxMq9{1K;6s zA)lnMznjwPtGSt3^Wq~tvsScZ+rPUDK;pe^FSzkq@OT46xPCW0uE>*_Kuv`}M4837 zpHa!rmGjMACETN?wX=-5WFWa)zYK2?K46spDDx@D3v9=P?aLq5)vi4ijwjH=oS^Dx zZLfWNaBWaNef5IvzlzFZ+hWR9!1#e&pF#e>tuH{$fu<)JQe5c^-*5h>a$~NPpDH*C z!lS!k_IY!{e;e~LjPMD{(c~Q@7igtk|IeAa9=8*NTL#RwtC4O24=(Og5lU6e>nayi z{nXRT{;rMPD#Gtcn%yRk6j(J$I^HS~b-*XHw`bt6I_Y)e2-kCGVBY6w1kbtsilp-B zC?TXTwZ=8>A3{aK47_VEV0k_Ap0t_EFuOd=4|=7%!B1xbmeIl3qU*8dM68{=srXIR zY#P1jb+|WxztKh0@lSWOxgxrpm(*e7%VlBR{o-BDga!g8p5qbqzegA>#IL7>|MFZ& z@SpLz!ZkU3=JHtY(-85$x)uCCP@Qybx%Gg+5{Up^^d%?{1izm0m@yHc1ZH5(ru<8G zbIfiA{=Kt2F5&YIPv*iOY5AA_c1Ds;C+M9S_j8XM$#(NB@dr|9@h7N>Db$%@k8Y`c>b2bGJq^#3 z)hQm<+-jwxyYTrVeN4SAx-c`~%x`b+>|dd2ZSVFFOr<^W49BIub9xXeWNqfE_o97C z>0&AQm%%3<^(g4u=A5|)+wUpe$)c!} zeeV@6JxXh$rhDu%*4ShyHoG~hQNAVmgcZiJayTZ4p}f>z#IYtht)xDDv-pdpE3qp7 zHy_-}h&?E~;A6AirM8_inN&@^yJSrJ^Fx;`OKSRU%_uXUzuDmAed&JUq+N#b5imUACdwD102sQ14xn)vlsbHC)R zGqJtp6H4_p4VP#vwVSV*-pf?IZ<7C2t32Hh^<(h+c}>Abczj8yawcwi)P}~SLi;z3 z{YoFjv#N&JFJ#5!d6Cn$6wT8_HQt+K44=0-|4PM-2apO;@&C`yu*WDn4c%pYwR%KX z6+n`?zSP_y_~2u}3-(a2Om7tDpiMK^%;Z;mjd&bepxN)&XG=3p*!>^gwfyOoxYxUv z6npZHCjR#Oy7%L!l;|9q=K|H-TvFLd&+&B3h}~y9aq`V&q}bq+-&;{N{g9Coze86J=_fSNr5{oqJ*R{gR1L-V^78@d@s<3&>n@SNVXE z=>z>-1Wn9Gk`HA8k>ihsW(@QOr@a;*`*kmqRZl}wdt64!pXd>JUmpq`6$O70Jqs-s z23h`@a@R4~(hHBYwqff@tgwG#SuIh~p5bcaV0QlP(%aXULxdL}+pT0~GX^KDm!>Y8 z6xtSBd;URpKk|-bPPEl?r+EMm*uAefkm~p|>0o}pweqGh>cclhHa5M5P`9c%q*NSH z_NxHrcR`VK%8=;Jn^E!J~L2#!c-&2$c%DYAp ziajT9%*2-7apvex@w7;uQC^FhwOP!UC(2`$H7>kcrbF|k<0lIx79G7{iW#Gch0)5N zvW73NDU&BTse1_9D0zHEe)&#yOMSbmCad{NbHjxpz398D@tUQZ-LdK!Pot%T4KQuO z-kB5}q?-L(nzG$eJD$T|FP-|~+yo6NA&K*Epw9?o_7wG;{d(YFMJb)yC~@v4H;eN3 zcb{Y>PnabTQLjDt={9x3?Ay8*qazDL!EH^+^ z)p6dJo@}HqrC)@rtl`r)ZT#HE+3BfwgxA-T z$oA&OgB>;z?*yN#u@|R&8L8TbK6|Ua8Wv}|1DB7$Pc|l#>rB$<8Bqq|nOr&2ltS&7 zg5I9>w=a5L_rx}>nlvtE@+WDH2?$)iTlhTzG% zs@RCkdM_cD9Y4lmacExje0H{wnslx>Q+eH=hddvtodnFFFHcQQTb?Y7rshnsx_?70 z(C2W)DMV$N@9)kW@(ROU`oIyhhJ+UaG2F1{6O0>t_ci#C))~`8gcO6{$78rkk#3kM zBrKvD!c$u3j^ReaY#X2m5QYZ{D@1^z_OCHoNLVKtTu!~gTt>orG2l`(7$bs&Z^wc! zC=U%e1H%~12iP*i@Z~6sA6%XUUT%%Tyn;)T!If7uW(W@d465>-24nCh26X>?D!6?Vi}?c=r-C-V zjKfeOVPrZez1j)NG{j@_VA2e5>!cq-1!pE;s^9{`EhZ7O4bx40r}ym3n=` z$RT0s0`QGvNtiz5Rrn?qXw*nDrUYDD@P}~0Bl#iJr9VDnw2?&DL|~+e4ks+1igAYx z3&H!tsTeLK999J0H(>^qUroof!|7kZEq?}~55=Ii8$UshXEHGSNVus4JfRQ;gQl2; zNrcBrF+e1;G3-cqsSG@k#Dl6Vb1-B`xT+jH3eClEAmPRe@CeK-b?IClVJ3qrF+8PL z3&2~*>u`Z5Xrc&12sPy1E~;KHe}?oI#>6lN{rf}$g}-tk(Nhl6&?nDH^r%8VtG+)e z_4)MVrShLF-^-uRm*j7Y+i|=(ndG{-=LvEpZu>5nIT*+Mw_dtmDB-f+;Apxe18i$7~($$>QFIM`Jv8?;z@hmJ`M+$Vh z0iLfP>%82dAm7Un7m5*x!G$M&42&_WK@xlZ$oj`pt_GvIR)SfD6>GtenDvI7;S=i< z*A>we(f=i(Do3M@F%K}^CG|Tcof+u z>XwrvG{}22Kdv_x!CnwGP95OH|^2 zer-#P&)UI0oN5-EPp70K#Q^&L7@OU|5 z61-))B38sX|GbO*?IS!f<;g?Vm(L&IsVS9x&IB?0MfVfj(6H^$KluHaCmC` z4?G@uO11%yFE^1XCql-gaa{h}z!EHmn;0|@9yblSKqadGcN9d)P7#%J!^T`8+}d(8 zP%s`ZE`mqplZ8=3Ys5`c_d+q8Ej3rbMXD7U6P53oLSD+#Ng?kD(=QaOYQO8F%df<~S3w^%4AaeYqbOtUP4<5aPj)c5x9 z>AaOJF_kOWk0SqPf;y{uNl4WrgyjMFE|Mbdp>?9zo_yk zVEW{IQ$ZbNUep7$Vz8))KIVOal|cxpjpz4sXKy?RE-5%AA{L1;F&k&KiJD|O8{BcX zS>f0AH1(?8z)ZZVA77DX4IbG%s&Yu^`8n958N&sMUAyu>XVXv_^=~3)?(*EDX;KS8 znIppJEF#)EiD-F4KTaa#m62$vo5oLa6imK(6T?CRkk6>8199P>H|vL0(YbP~YIaht z9u^gC*}|=x_o_2;CH8U->f5`r1}QV2T`|pyF@B{dJ{W}5Q z#n8`YK?||Gn4*h>m9U@n(Bf-E&8Jkg1{47b){=3x46AS;d#&=iYU%rs2a zgW)aR`-(9EyLvB%r_|>g#uf?t_JKkamw_%|YQRt+Va0y%x@sdRztIm08UDajA>s3b z;8OZ0MiL2A4S~zpW{d+878?ey3b$e!V7n3UQeGu!4u2bl4hiRuf+t49K#O>^V~CM( z)EIdF*br#QykD3DcwijEUHYp7LyLslCotUb8Y+Z3jiC#JguhLKTStA+WYun__GXZ2^+y&M8c0(LGhkZ%r`iE4SZNT zhB^P&n1b=+m|wu$0$Q3I&hN!Az^5lMr9|S#N}8*5aT0R|d2Cd{V4YHziu}gVBjFF5 zpbm#=j1m%V`vYp157eQ87iKUkF!eUL#m{0s!Rz3vv~dojh=l2PLFM+-;Pu-J7%3!N zO}GzE#8AV9i(op#_P`u}UBZYV;pKhMxbrI*O(Z;d04_sU38P$p2+D(51s22_#s~=u z;J_or4U8HR{zMeQ14D&if|@olq)51i7<7X$>yY~|X~GISG5%UxA*Mm`hY6w(x$4OD zi1ojg*!!}mcat2S-7E&A#UuL#=@}9QhH7OJ+@YbaH!JfqAxn{0?YtJ(&*n^jr*kN= zp4}pT%HbhwCFnt26+E)5G-fWh+P|Ez-96>`F%BvUzNe%a*MIWGVCG$iZs>mdL&TMF zi8EJ1B|ySMa!i_!Lzzpg6+`F;;%7FFR>ZF~RoDt9IkXMNcTo2qcNA@oGiy;*mRx6O zO}zW(giwUDTJm@Nl+PpA-gpUZJ<;fZEH#Jmm2X!!sOv+^q?{;P>xa*+-~jyI|~?^Jf&c1UO~b%zrYh9EN5WO z156FfLIG|cVZh#<(;-8J7CBe9t|%2+^_^o)=;KGuptG-8UsG~RJwv#={hFgxtdE^L z&B#RAeoIC9rJ73Tp|*A>j{HbVo@MV!oaUU4NXitWFr$~Q3|6&TGR~PLxian7?Wclp z2j-ev<*3G7TPekP%PP+FSFJAH=pR3>76xB>??dEzBBYo3gu{Ikr;La}J>B!^GB*bd4tZWD zey}q#P08_3tPomL*?JG*KYe(?@!?doN(KqzTu8>7CcR`51JM=PC!RlK;DSlaS@;fR z$YuD{Auy@gPlEwkIKs$A2|BtG-j?3x^7Ka6;8z~9tkZur=knWZaX^<8PK_0q!XpW?W zAV{}#ihy(q(w)*>@9Ovd-p{@N&FswX*_fT$J?DU~ry#-Gfy2+G1cc5H86N!q0s&L- zGeSrR1wH|x6N8$lH$ZTtQQ<`p8wnUSF&cau(s}|2|5O4$IvBq&DL^59Fknp#_z}cU z2KYcYfdhGC!oNU58>XKJ7lka)#|WcvW$ktm@+f{ef@HjOGRpqk+U+J2#qhxQj>i~Q-6pjLM%Ql?NUvc zOcX2U|A-LffdcxlhVX3vtVK-hXmHJ^6g0wNJU!J43U6PAsOoHS>kR^aUhI?Vnlg9P zrk*jEIWM1z>)taQ-bdiiWR-hg41}7R#eVz3Jmd|8jnom;)J0;|`-Lvl$!fHPCkl;~ zVP+32w43|RlfqyhDZHtPlQOvQf+rh974ccq6-*)|`N9))9$)VEcBSg79I{>fQf*vd zm+v=D&#-ybDE6*_B{M3mc|0|*;ogt#Qmd+(hJuy|d)IljAM2a4wm0e?b>&HHs|_F5 zeRFf>?k%x3(`oNN#pmW~`P$(x1hp$=xtB)So*=E~hJi;hT%IzZitc1rsI2eJ4ME2#vbm7QqT0QIqX2tIxBM&-p zJrKxW3$Dkmgx60$rFymK40E#9x@HfHXaM)@kaY19{Q9eolV12+f9LLB~(w zgAf@9*hy$NV6&Z5f<3y$0UC1>1>0$g3VsZ&ae{gW)NpbHsDTSGUi5;!EkO$(g(A2C zI+y`aIXbW*qj~=JjvhV>weo`Yc^Sa=|HcQPpMOAG;*9XmkTXA=sSc9~{saMn1VCjD zTMz&lK7(gL?Sg<&2@f#-VFvvYXNG%1Ezbc9uugu^q7Z;WSm5IjstDivV zIk}Z@3x3;+p6V~53`{BCPBe+xA=msIvwApCSXl30jKYeHY=@*-gD{652eWOvBqBy~ z%v{&+vP_5*eT>M(2`$w{(?c&g;Q0tVP+Te)C@v?M24^t==0s;cp zztl}#ivau)0wOmj)Xoc56w3*$$GIT9=Rb#Z{W<&^@{|F!|GB0_!azitWdR?D2z(h@ zlY=wX3BG{iAwcKy00cY;2oSx>-$#hSi4h=nRlwTc24rnT9R3?(Rs#*KNx+*R26X_L zfZPQ1>m@t{+E534gVlg9K@vUw{q+I*ToG854g*l*l@k08YBvOB z-pW9>>Wl!Sqyh$%VGJNJ<=D_%bu=pUMit%)>6w5UAh|dR-I)L?2yAg63Jo|aWT6fZ zg!)VY6-xu23f;d3(0~Tq9bz>HkgX=X3evLx5X=M&1k^x>47A`@keDSXyW0RZ66OX+ zA<-G;sWAT7D(2rB!nf?2;X02_SS>3{rn^4HgM|PEa9REP`M|-|9Ng3RzP%Wya4(y=ZI+Yw;kX2pdzO&@Y=k+0R;Qo zZ9+RR1!_J3MScTcg%~~n2*VLbCAB>uJoN=F;5op@AUPO-aP7fZ$Q%K|+z+52qlyEO zI)M%6;}5E#Il~K}_W^+LZ~E4q!SuNX0s>I0xDc5;cp8H%aCVr30L!u~JONS*22k2t zpd7qH0H3oPkV;r6DEk+VGQ9)4EjtXL=+S>Q z#2x-$``D1d2Y4rB6a&y!0nlmiFRl|PJ{F*0YEK};II!ezx&Yy$A8_I#;{hSZA3g>3 zB>*TZ0CdnI5wHNAg$or10;AxV1PH+8zK2wk0l|J9bTuRxY#E{` z0R|yA$mIXe2E0#zTY-W@R8U}|13I3X2sVjtF_^V~&T?K7FvJ+8eJ+nNTX(C_a- z!oPg`uXHeQ%6fnfWB``W4FLL=hZxUNGT*IMKT&kMuL%pUMZ`}ZvikROs;}9F z+$!Z&ZlvO|6Na%AG$Psr)ngi)_bL$*)|3RsZ2Hb#e712HeTH}@FG$CPV_-Vok(&{H zuJa`%TV-T6x_%_y#Op=RHHSrC$LfEvKYtfkZsYH8`Z}&scnkv6*8Shw;y|Zmz%>)^ zfiu?4m%~kg^!I`_1p6HudRqzhYa(A$s|sGv7G3GBvYkF$ZTZla zuq)yF!MF9$;vVKnOE~06U!gPZ2JzsT1`sWx+TRZ+2Bqu=#EzfG#r~?hlpy#>ILuBzDB9Y+jhH-V~<*iWb!piY$o((d| z7pMgg!S=Jz_kr&}tCeAHKM#4YN{#bDv?0skjocp_!73d6%SSgmzX{}?Z@r(7+h1Qryjj^uK@y#N z*3_zZ(NZ{#TUzS}A6YyTcN6)Rp+?`tN#r2+LT5eGd(zlu57TyWrugH6<;@n3)7Z7< z{|jsqro%9x(h;Xlz6q`b4qk`BVmCI!H4vbV5ug?RhQiRxR`@TdXB2RPd<70{Z>$*vO_&cB z6C;9IKLo8KRYzxy7B1rak{IqqQIkcH-XQYf`j8+RkSlrEv{I@+A~DjQEf5q#=j@M* z{cSqmPiWi}y|IgYG{7aA`lr44EO*T%x;NJq5BihaxV~J<7~95awvm11t1c28xz$C~ z;|IRxamndPK3{K4R5H?Z-})6eBQFxEAnbOy_L`;}5c^48@Aj3-T@!>q^qfhVX*pD% zo|q?2=-EtU{b{|@@Wo!No@lP5Wr)(=Hgc+VzWg>jqc6!sF9V$pg|OKvs*~i44sz%W zZo){|W2j^d&JU@z0S_;F983jO`(L#VO@Og$b^zrnI0*_-JK-V-&?x{RyDs3|Zcc&1 z!0+%E2nhUj?cHz~!aonB&Z-Cg2IQzgD&%iSvcfPryc0)&5x{Cosx z>9l2l{uzb0A_)9<+&Vr6uLtnIi05C%rDGgEfhhPtr>)HsaBrw)1=Im2eh{R)3YsOK z0)|~@4L~3p*a(?#0qVgoxD#Z#{T~$@N}L86726$vdd&b|LV6dlsLcZHBJmp-BJ{og z&bC$tgWL}Q-#-VsXc7Jc@;(FvkmSXI zzAOQ&uXhB{e^WEO3@p^mDPaD+0{4M-&H&`Q3jc-3$N8U`1Si=){v!X5ZvVCLejR=c zcEgeoXalg~K)D;hS-d+3H9?LW2g=!mw?XeN0Scm09O&Z~7<~T~kb5IC(CyT15c>9A z12lFAUJWJO0KPZ7z;{`^{aa%X9tGjw1L&W@KiY#=Ao6kj*Aq@guvYNZzhUYbTo9t% zhnxJR{qv9hg~QeRAT0(?@&86(z|oldO9c)RBb0jxuSrsg!Gc7T;G}<}v9Z4-^}l{% z{~tIZ6nhNM_*?RCNr6j=^S>Tbcmg;4>s*ZdJ!e>O2TvC|1qvKE7z_~Y8NB!Z`4_V_ zVCdB6@Oe=1_euo>ZZF`}@m|0|mh#`dii}G*xVP~4UIlb~1@y`P90dT+4hKTGfhYXW zQ2-Fn8#p+S`Fp>j4(0BzTmIL9r~{ra5ds2Fl3ENejE7tB|6IUK((!gnuuN5z3omnc zR**)&R(y#rq~cOvP1QNtYxO!)#U`zLlsZnc->7+L?}n=Kx(+w8Kfbm*aWU5Fh~d`Eu?^Vlf7Bbj z@z_@`^GGn}2W&m=B9%9zv}xbEYszHQ@+v~s>!qm4#|ydK-UI^%XuZWat8bu3w~30@ ze8eurFqf4*{_E)I@p`@`yFslR%y=dzD$3Hfs1GCYyH`D-E!kV`(SRdE!dvy^TJ2;V z!>rNId15Tug{yiP#FOLWtUYXHhE$qFY@dWVO7eB-m49of>17qO_ox~$7OCYmCmI;X zp80hLpqLa{t{pLJ`*SP(#}y@5l5K5dX&drk9o_hynu(+ZD+(peKtaTWqaD(u zhdsV;Ym*wh7;A9~TrdhO8?M13ma7b!#s5mVi^)y?D7%xW+-rCE0a4?`OqdWVqfn^c z5bA~}hDL>)XVshI%G;IxHIc;~Zv@Y@oJcm(HZMY}&^6 zeC~4Bkv2P~y?I=R1mogA3+Q?MhF`AOv~I`k4HSvx&?riSDE>KK3lC`|-cm5`;LvCN z{GhS(3w-{zc!gguRtJYa9Ic<#V7qJ>vAwi-A5f_%b;f(Bul$jr%HYH0kCR< zq)4FI#6O6!H$^c zx#v=u>Vw{dYEBq#S8k@37DXkM5qyNbZ&TgGIGP7$%ENWPTNrvG3%r-1m`9Vl-T9Os zE@`EVeIKS~p7r~tjqCNdWz?~+=+j#$FKgMw*?iv@AdCld!%_WeL~~x0F(`lY7zIfu_2|SV5p;_QWw>sWjD) zR>9=@84(ZXWXPwSR|iXVFu_X>Khf*D5)1Z+MQe1l8A=PV%hAdKMgNwieOpoT-R~Q= zBBOFP=DQ?xu^X`Z}_zBCAM<2c=4Pq z)d2PtDULL-inTD&e-&$50Pb@=T7DxoiVl|&e#yQR0 z2$lc9n783!*4Zo3MquU&!REqs`Q(i4>R^aFv-sX!>JKb$j#XxkleYiI4u|=kr3CA# ztSqmltbOr9y>zi)ZS#hg!X8(=(o>o-k{+R&ouD%@%jJh5=hfwymf&Ma=SVcDOHc5B z?avG~=rQg+CO;z8<9YF2MqZ!QAUyRdzSq)4(Qusb#^Hx*;i{L|odZ7e;aCw8Rr1 z^f;#HZ84=`zASdw!gIc%p=3E;el5?{KZ(f{`0!^Lwh>Fqqn zKVy<57Du1U<2Crd3dHf5H4Z4vB`@;{{8(6Vt!s@WK7%1U3uQ@maO$R#WLYV^c{^z# zWzST-J^Fw!#pdZ%+rWv$$DM}+f2=!~oK23>eM!oL5xiD3`27RaHMR&1gL8Wk_Sz8n zZ1wSf7GmeLJ|X3RA*=Oq`&%nr-ciW^>D8EY_3hy~ww?c{n@6okL$|-W%srx);09h8 zO=GEfPKn}_2GM+`k+*nL!o4Q5c8juNXpmC1$m^L1^Ax&N>er`8MAAL(!LQ0+vTQsR zaR2OhwP493@iYID!?N?2I981zpY?JZ&Oj!f&J=1Un>&)8Y-DJB0b_mk;y{5&0L+C2 zcllF8Ajce@6w(Nzv_MdXiwG~zM-z<3^5N+T_wReEr;mis-uXX%PhBu=KB8!AujSuu z>IBA)}SLZTUk3IAN|A*Nl) zInq{rb#?7>@8Tn@UT^0MrNza?OGlAthy06Gf=~C6WZ9ePxcA#ZRQjYD3^{DmNCO4A zBL<4ry7eO(pPy6h6gTSr^RB!>yFpH{fLoaS^-*_sFtd>P8GV0vwt zi4appETq=R&Q!)cvD)mLwf+Xp_Ys5Wya!R?p?jQsw#KW%B0kGhbaCNoMjW04cQKV+ z;SrCUl1?YYhF{=?h0R^_N&}`Y#Rjzr4Ld(7Jw_shiQiYM&7X7zdky6kT*JtkcY{1ik>UvRBMHCL&PRz;w$5d8Y0}W6tWjx z23vE`Bp}w>%{jhvl-(F6q8pr0mOSo4f;kc4646z6xo zp&%({%3~%l+B>dUK8lT9y?Po?$H2O$hgs;kTkoQsbA|hw=E& zUNKYCu(Co(hVDkmKY!BH8ToeNhs|2*={2%OY53)Pp~jT&ck#rN44Ecl07 z38@WS4|%L#zBs<|UD!AlInH}lpPpm;-fA3{IhQ8jq(}a=Lb51@wK$4#@G!nY65U0V zo;~Y3qmPs^!(ft_gnG4W?dS2ByM(J&y@adJbW!Yn@ngJi23>4;SpxV{*Os27yfSx< zpZ|;2 zU_X=fSd$k8C0{v*aBW>mD~=~yBp5eKLU}w-$LR|MtUjlWb9{f|w*73VU6VBPbq{Zv zo6I{YnSjBM>|WX;GDstl&!Sy3EXHJ%bMrM@#_gH?G}PZR!QV%vDk5o!tZhh+B&Mgz zrWEd+AU4FctW^E9Hmo%&jh*UcBp8Zx7lVzkpLuN|+(X4+oyK*kSAOGM;G>bH+r+y|;PkcoRwnIp&P>x{8)m&V64|a9eU>QWB~uiYzSh z^h;Ju4?2|)Rd)C)5_jaIo4N<&rq+tgKfhkkI`3Dp6g2pWzGDh>(rc~A9jaNrHuCJ2 zj*MVW`9|TsNb~9UlWQ07z1e|Gh;%eRl zeBvr^)?wvV4>FvE|Gp{Io$^A$+jy62anCd2?5rP zEaDcs7q@;6l%D3eEmrdQ_3iX+KcDV|l~DfaB)lLfrTF8}{={U`GwWfgo!*=$kp4H~ z==AEb2@RuEx7V!|*%lE@QyqUtS@(46SUMr`XN=L_UK8f-LE!{7V)1mdyY-`Kc@0Dd zep`V^?dS-Fbv8^*k`xU|7yH-7E-E8LoT~K#t*6f`za5aM+^?CdisSU%AEQpq;@oOW zGl>M}&Xx#pm_Qe^`XhB0g~L6=1RiIbn@RgklLdd zQrN)k_H1<+>#vVbS#|?Y2d-Ec6`CDAp%*Dz3PTD5F%v$mNVX?rPkp--JC`PF+hKKg z--#*DBV6zos|4uVNIG5=DY^dQKR_A#=(r)hxpj%#K%a<`L`Gi27~F`{tQt9jfA-bz zF7`wq5?$_I%GER#|AjI9*OThVF#=6u*-x-f&S_*D^Vov-Z>x!`S~YLkTLQSq2T{G` zm_$j>))=<*e3ZACx0oDJh;GYprgWwkn27r5zvj9B%zlwPfQ!R5QC3IvoI)d-Ehxv( zKV4HlyTHFJ1l`(F+d+mD3!G7h-j^8?I2q>23=QBsK{&NaQ8sfyp7Mx&B*ok7ofB(O2O@)`nt3UFV9#+qN)nl6RsMzze*H9 zYTZ1wvo%5dUu=4B2x!_8@Ee+~2ivY?FEA9otv0!Rt2@%|%ow9ol|dTZ=~!fMP;#;_ zv}Yv`{nicBFf$9f6ec7^Iz;eFx)v5Y3JM2*-+&!;4DAwirg1gb_6@||zEjvg@qhA% z)MNnx+E?;z@JagVK{}su%(&@K@Er2Lfj>s%P7w+wxkjl6w|)`xrLElsbN+!C=zc{Jf)6xT0T z>%B_xsBhQ2UdqH*e$G2v$(XUrRq^)Ydc&8B^@LQ}sU6;V}BH_hz)}QgjTsbky`Tbdt4<77Cx^iz=|CZ)}d$qDX04TS8$C^x-h0j%R(L zF@q~m7TKv_meJg!;SsxvHA?33KQ6)3PbL>GrfQugAK*`-K6_ayUY6F|5%wPSoZa`b zcT1pDQ09TMEXODGh)>Ui%T;Pi!V4YWia#a~c5iyLruA&h`1g3ngFZ1&+mL`IT!yl{ zgRSVo`M1u*Do%UM2Yc2Yo{F(Fuogq6=fNfv9WkK|p`5}}qRPKSZPSywh<`XTQ#Scp z2I|X&OaydS>+9Z|#78(_RbRK^7^VLf2&Db0c`dpihJrdKc+BM+9I(?(mbU`l>&Xas zPM3&gkQhx-GDvFUr(c?ca}gpa7L>g}=t@)E5v;)UJYF-a=zPM1=;7#|C5%NmcaUZL zQIx0bE$ur->^2lR-6Uq*0J9z8Pjl*$ei+|w7nVdPQe<%s(4!t0-CsCU=e6M=Fvjaf zJf{C8loz#q9UZD9^ILU+aDqbL-xjIn0;MD{n=#i z%L+khUFM!3DRj~oYqThgeM5CV3%ItQM2Ots6!(3Iztzt2-6U$MEeRC6rt7aS6UaH3 zm)4Co2#hw&GHNxJUL+~<;#TMKx3FcMA^bQ!aYI4rZU~%;@O7WXO)CA4enX>@vlzce z`_tB_I*vGz_T9Xs5!=%Q6PPzq{&2>^zG!>bM28#SuM8_231x|cubpjPm&TvNZW$7# zT(+yo!YEP_X7LI7UOn_~B9CoG+lF6e7~7eqQ>I@y8@6;T>}_H2`FENHQ6bF_B8ZYV z5nbh6n+*%;bCt>XLy;U<9Q~R7x#(F^Z+OWwnE#AOB8@+_<`AtS+yAIN9-#Jk z9&z*YK8K<(VwhkGibl*&^AMSHLSm(GvG?!515Y$D;ekqUX($_@$+f6+@v0A9szQ(i28a#$%-yH@y$qH6Q^rH0pQixZ$7U$8l|? zgjBr{msC6-C!kT9p&t^PF)t}{cG)dEEK|?~=}HFS?S!3nA=3ne(eOXkG(wGcUL)p@ zXQ+$&R4@1Fr9{$V$`1Neq~r1IOz`7i^Blb#MJ=icW!Q@p+?_#ZWL0)@V*Shzfmk^= zdB0&#_UO(-6M>ZsKNBR86{c4?Y`RKGI+A=Cc_AH5+#*CP`#*hZ{v0yIigMv=0Q6p!Y%N$P{Vm05oKywu$x%zEa|}qfj`o)x+en)>&-O?`MOyIIaVYA2rQ?? zN{J8je4~`8iv@D!rDcVNEhKY)D1|DmqVQ3ct7JJ1|5~}mJ{tQWC&sXduFgck!TWi8 z(t7LUTBl7k^IU(4<6O9e^4zG|`SLMI-f-6wokN0M!fzJs`SUk@>t{l;AB?RD#$47k z%qi8xVCi1RSVYM|!MhrZw}MkT5QdDJhN0i^v$zi=Xv3H+_%BFp7+bx95>+1+5YA;g zrAOkLmziE7ze0~Jq9eXSFA{x#VX11XpvBB%W^(IAks9_3rHUT#b((TPUnp189CwqIQElzQrKCUX3RaerpfgNt_oOPs*px=fy{H4m?8JB6*d*yoOYg3NTWDl{1 zsa$XLcqt;i6j4a+rb3h{A~S~TS>?rNriYa{+=Qm=#l?RpNLcrSVzSv9q)a}kF$ZGB zKH7mL)D{s6Yt)J}6}uFK7O;0_6WQdxUt81bwh7bqd_#RCt{J<-lo~agd>7UHaSu1C z%IIv?&>dboPrhf*I3y}{iu1?@yFtFQq_W5;Fmx#Mg)5%@aITYDVYKa&Sf#=p4TsvR zkh9}>o;y#5v(6Sz;!>GRCmQ0_%L~!e2%kIHnUjdW9`X`k?UOqV^|AKVUC zng?8b?sqfF>yO-aZQ}%f_Ty*z3d(7@JQMcFbMkT&F9{~Q$WIl>a?@&?uBY7UvttT+ zg+7Iz7i<2CK$?*3C+0h?CTB(!XJJ;>;u3NpT|MI~!ttY21`H2*{@}c4hgwbCWE>%< zFfHt^lZ>DhKBX8`HH)FS`KrVXO?>s+%@SW%PeWRgGI5Ws83r3$6@S*VsplQV1aj&E z5^TbvWJQ~)RyK|!;tbbCt(=+CV>uq zyRD&ZmVV_;VaF|YxI;q5gRjn*GSmJSsBzdiYp$C`uLD#@-#*m-%`Z*)$J%sp^<8y- z6mf~Jwd~xv9XM(9mh1etLqWt^l<071mC@Jdixtd+ z=6rjbmC3ZS&7x-kA|*=shFuUF{AEOG^|<%oLoKpO0Byn%xyO{=^T`Oa>+~mArD(VU zcSI$)AB;2Wg1>9T&Eh#s_fT%y{+hs1?VgXhjm7cCxQdyW(0on9+d4Wxt)I)WV_hGb zlV!ay+F0ipAJTD6bu0e6w8rwhP1*()@P_j2lzhL;X5;NyzCPiE_vPc*J8zeYlFfH4 z(;sm3LXc+l6IBfQvJM;B!rH11wOVx!=yn4iRSom<-V)G|Mn)&4*b|YQlevXEH6<1L zm6Q*}kJIn-V7(Nubj&Lnj@nOac%U3$)}K0|^80l-R4wrMRRz*A#eCZux1>#$ci1 z>AE;Glep8ocI^-3y)qj7LxQh?#*@ce=y#prukc4`n|@sCaNa!=l3kc~(eH;nlIX&o zu-Z!s>z*jbq^WzB%QW^8_xjd9s^~t-=cLC{@;haZ4R}?s>^d}rh2PQ4`RcxP$ct(( z{i0qL`O=`0@cUSgK|fhgdlbpzUu58A6PWSgR?iysky$xjtLjhc9HDHJ)9fY8bU)+c z;KBLiv)t$}ONiv!{0#al5&V)cUMVt~1oLM}tTH>~YAb&PNBwU~X5ECtn`G4XUm$F^ zMQ$$qLXJ>4x~6dw4i$Fw?(y&#E&f!FLxxQ@YL+*iwJ9(cs(3`dTlMQ+eL$f!r8Yxo z;R;W!PR!tjo2V4iC)xI*hZgZ zM{G*`+5QRxo_ll2^YhDaSQO{r;o%>4FGJMX(hZnew;PdTMBr-tNL}^2gT$29Fmjq2 zmpb*5_%!XOJcK#9GI9?d!l13Av)2NcElsX$rt>C9mSd^dk`ybrPnPxqeti~vTlx0> zffm`Dl@XeoTqk*VTSp4=0N8RwJagtgi6E|f?52sFWLm%1nrTQ*HVYwP#$1LGt(9om z=tq04LqU0!bRkJ~Y{C7L{{ASB03Q>|3GEbxEKmJC|4~xWFSArUy$KerYa$3G!>K_{ zhe{?@g-S!J=$(C*4dnH_#x1iw_xZoj$uNZNUofPNQB4P5aSJ{O{i zpOt|thf)e*>@sxS6la_*adAt&Rk`(unK(&GEQ)g0{zYM}?Hh8*1~|;d)c!<##@DS_i}@M- z-qr2*bd_hls}-)RmFDp_f}KYL?WO4ljkwM8Xl@f^~eOm}suks~J1W`ka1uao04yne^h z+VVy4XYg&jqIy!sz#Ll=ra$=lkt88+#EmvKXGz&&!_zZaw+Pm&lsn4-;N zb%GBZlsuCpH6)c!7*#7`N8^=dq@%-hS5Uq_%c%`R=Th!w7_|uLO^d21!1&FZB487R zvC|!d+u-&Zi9TRaCStL>8H0FPg$dPZ)ghfXYi~L8=ks$9_DAf*ez;fo2|64Zt)lp< z>CHrh-hEPW_az5^bYQRMUg7GZ>cc`QCh@)yI#VD%cq%;H*#lmj+^xey&g&+Itdd z^NMhFUWN!R-V|uuP)J)moM2GllAyL1v4Q5xTYUE1;c(XOzC$^wsZ(cW6HM68?VH{u z_0Jp5L`KOG@%r)Ho9TvaHbO~|+Wn=o*!Q)joY(TwXwPX2k*-xehtL+$i#tep{eB}* zIs1>9KJf`)FWRoMn$94~$TrrkMpxOHRJ|wVQtZHeJ%iO<#ay?kuAIx(M3=AU(yW4kA>aJTcS24LsEKl_?|UPW5IrKRyac>GM3M}5tRfx<5^}UE43=;n7VAx(t_;vpQ#q5Q>i-rS=OuH z+QN1_FBWJr7Cu9NSX#L|r`$?;gMspCXaB!1f_x*5A;se6;*ha*ed|WW#VPO{%BIsI zgYoh53I6XZ*mH(PPULkHE&F938yT1?X}qvoBWV2S6~jjwu$Fn>?OeUA5P+lq=C-IG zzTRIrjulzmwWA+mc3(zTaW71v_G-CImmJjRN$EYZP)<)zv+sF)xLTR=kpXUXWQCr{ z_$mM67(qwk+e{ke$>*u@Nw5}nN_llF)p!3Q=hI2AE`izS2?IrPfLz!_$4{(Xmt)QPPRClMuaF> zFNJvY!FBR5zGJwwA?9=(&XFNOK2uy6ix8@`;OmbGZV4M%wjtcQGIjGLTrWwWP=pF+ z`{u{j7TNvp<11G2Y%3V!3ZrO_+(zToeQ4@;I2A%t#06*!xNKls9BgqAV^3yI0m2P( zimpCdeiV@nwjR>~XM_zJ+ZLHT>ul9Y*y7BJ!Jnmls+F)Nvv~C^>4#{K3LXdcX3)vd zd^$2|Q*#xdCyALI@FYpJW^9)5w4%lp>Xm3{#7v4rm!Ik8{FZ<$L-Z%(^%Io*jVNO4 z)8$tTLgm!Cq$kHP?vKGz%@@^yh>R}0*01sBJJsd8(2FXJMPGyvtB1K*3RW%U>IUYhnO={xnbujS#vPyR6 zCnL(jtl0Al#}Dtk4i+c2B2U$Cg^EpZGJP-C_T80FkKeOo!-jpEAIwb6=yl~{QeX)4AY3lS(Ww3Z4d6(H%LtmgY8je2IkY=DRWke z$wGH@%c7S9jPu-km8?7A$uI3Mqlr{ zKg-isC1Fkdr*rqsdOycF4qPgHKj`6`&I;mdtnJsSM#z4n$z* z_8$&m(-X({nay5zD@8?H3!i#5=VMRr?{1ET2B$A~uD?J#Lx1k^9+K>kG=I1WtY{Tj zKmRoK41?APHMlN!?B^J?!LfZ?M2qlKz-MrxUYw zE;7<7jbC-2WGILXLxpbT!C{eZCIHU*~0*q>~0+z z<*$$Q4Z^Do(W(%n2+gDraOtXV=<)~)43CD^o6u)e+${z6L&|doSjcrQYY)R-)rx7i zeuvpyGsWqj&lkK<_&MBnzJES&^6Zu+-do@PQqa6AXQl^jyWegqM>KPqugEA@w8TB5 z?X=uQrL$XakMz`<$9}rVNLH&P)aW|J$h&6ey1=OJtfIQJ+@s7rTET;~cK^8iJQ;3? z$C^99;%jN(wHi@gBN^!v5Gf`2niEq)NC!szj61wE%>1Xa>l$Ug@oXUTLYgC@XglS_ z*BdvF@Cog(h)nVV!KGzxi$=1G^1iB=-zS+j3f#_Pjc0ELe%0MR8}4iVk?2FA+{%oU z(J

O8ir8&7BN<^{Ux4exXmS_1V=l7M{|nEPGl==a-KDFZ$1R(Hj*;qWI_rCnE%5 z$Atx=@51IjE}4wr+(*2=(2447&AB3QM;QN7&SRc@5m^=7XM--;NEDNzQy{Q-wNxuh zMXJNhX;q9NQ*QA3&ip3Q%RK&DVZF0=bm-IHY7A`1du`g)VFT4h z>$l%HK8_Kim|KQc%z62=h+C>v1=m_OY)m9F+KY(nn3ca4f1L0P`E44pFnD|Uy=wm~ zX7YTJzYml7E`NYR3t!U7Q{+elg70tjUlVJIMfs)-tcP9;P=0E7YD6&iZPv-_4|PH%Jl^5d2lh}d>}lDh_HNK+{cKJ33gmPFtF%y+ZbNzHfL)g(pw_zQMV zF4397&v7QYhe|p)gDsNr?n8xpvJmP!capR!JNsW0SRe2_UPZIL7ON)vTvd-kzM+I8 zI5_Q?K3M5f+c?O~#Iik9Fv=Pk4?ihdF?zr#6u4qw};H!-e#6LtxL#S_deucQ>r`<*xL5O*P9n9hxYL>0Wbj0t5m?!>3Eg;~M z=#vXaq2KEh&a>rO{8_V-6xK`PCXwc;A6EB<4?Sdbxdgg{9-R;Lh`1JyTmFuN4P#05 zN{aSMUdVXxvrO&FIiHiRaq;0N$=$m5u#kUiL!oI!nH>F@?^|=`L~<`~bK&{bt9s0E znWPk7Hf-FmqdnsY6_%>F_#kv0KY%TL9iKH@>MdH3+yFf;5g#PZG;W9(`hph{*Zuzr zyUw5{lyHhgx--JTBs2@fYL>J6+-VdAP7-91_`|vDGJhS2vUOd&H)iZ?>$H- zNc}kX&YAoDyE9wfcb{i}?99&W?z8XAduLdWA((n160({_4^n^Lxnh44!6H7W@jY&< z8Ws+xprzSl7koORY^^gS@b0Fss zx7>3xQ|b;rx?!&c)0@G7g|z%94xUo*k3fKGET42X^)GIt`cxF3U_?P#Rv6uxqeOrK zQ;owt7aCZM%MUoRjw1r=x9M^gtT7{5%`r<`Ei0_~jJ!q_@fWvpG>uAM4&aC&wKy#4 zKE1GkDR+Uc3dcI$uy8U|_2k=VoC|!h z)M304380B@qIxSt8437_41P4g!pi6N69#>;--$C`8L>wsQ1tG4BczK&Dep2YzhL4s zpyxk~q*nb1N$7YHBT^)q_$Dd8mtBwkB|X@0O9k4+<;}@+2Ys+C64eVO=8vV`QX%Gi zR15=Mi;%aP5@k8GHgKKXS4x|Igpz+2Wkrmlzvozm5MuR}+$y3WQ(810rr=t0PT`-^ z21JF1VQ9L-OQ;jvnq;M0RPzm;UKIQu^x3PF9!K26dt^k^pK0lCkiIB2^c1gCO@Ke9 z8Rzzp=`riN@y_gHN)|_3NRbJ}T#o&34LEF$uLro>aCa2CeK4`(jWRH1M5(1%N_=lJ zt*JyQO8J~@n{GaQ&i%ycH&oDVcs`CZZp8mCTAmBs^YVfxXEkWteOxB>S*glVlCo3d z(#GG71kzD$_?SdIGv0BdH@mh>1W0X({Pt8^VFILY^y{l&{EyTa^=I4!NLolw+Z$K{ zo*DeGcSVKRmB9lK(-wc{x9ELtH$3MgKz6Y5S9b%5h+VyGLk!!w2$0p!36O#keAP7V z+QhC3JG_-s5`1wgNh`(@{A6r8#I7n2sR{2k=6m_>`)mB9Y&uT&-m2sGy)LM!J`2q{ z012SR%9m7Imw2w8zG&wtW4jM8hlM@}NDF-+SW8S<-M5i3#s4qx@7Ns^PE1UBFz`M! zJbFsXcOht;Me>PM;lmF5iD#HtMxv}&_}1diY_6)L;lm{#s+lF9An!n^327INOKwH4 zG2FVDyY9UijejXX`~WY2Ox}LGloataR|4wg`zI2XKh@zk{VQXgR}_+7#lt__onjL? zNG#~z|LU`aP%X943|9StPn^by_tGWkDJ>txzz3@sZL*?Vrb%X_Od}A3KxKtN^e()y zGlVxKyrUu7Ojt&+@(VZrCv>M8bA;4$e=S8lA*$q7j^x;ywo$6AxVikalnNvK#4ks# zP6SJ^iw+~^{&|$0E`LW-`1Q9jZww>AU!c{mxx5~Z8mKso7i@l(EAUMJJtDF26cCX- zUOF0~<2-my%ODiV0u^8u5Ofb7!tT%#VJLwu>|bL-QCuzs?NQ^}!8z7i)VBXL_lPB5 z#dn1TwKD8~dxf|zj|uGxnhX@T92^g zUopl=okA`%z!_J%=t3J!R#b%&ZyQ!OYX?W)FL(Y;fIA_u(EMBL7`grgY*&_^u@%`b ze%^Oiu45z80f-9b-wuLZ1qsvXoA?s8`|>6y6eKwIFe;owN(bd zl{Uvj5)kf?+R0rfcT2Td6 zvL0O^3p%^@>3w3W35oA;ow>GyS=m8&4H=O-cxHR*l$(n-ATch z{R05Z<8)Ne@gceu5cH*u7579Sof&tqrszI4zEG`#@dx1%Zi+}AASdE$@XnJbAnp#(o(GwCTipj zcj+nBR@fmoxCmV{`6aVoX)nd^!R^-t=wFlQoJYFD-SkJJ&2I89)PPc#w@wJTfI`^O z(pWRA@F8L4-#^B5is=Gej@TW!HhxAY%V`xb79)fXp?N6awrL$kb5*2$ z@+s-CH&S&xmLe+^xMF&0%c{zB%)?oUp|xDg-R`PEmuasIp`vN)5`VX=&)C4$;kv$f zU7KP$@^VIP1m2`<}F{T;ES)52)-UGxu?0wbmow{!S4Azng2lYeIz0bc%}Pr z%pyJdP&;G<3d^!-c6%*o4r=)haB~Q&K*NRSH0eTQ09y7zHDk1Y52N7JBIG>wBpWQ6 zb3z;5bFjlDR71eKgb4UiAUn*m6cF2GK@z>Rg{h7HgjRfy@R@;WZPr7y^3?O+h$cb> zw+-KY%~j%;Wq`Q_L}!Swd5LlUA^V!rs5$ta;);Il?`Y|bxY(iLL6MEl=4*xdW;Y!= z)*4J=`qhE&{_mA-rj4CG`1EJFY9+bJ<0BSmrk9ex#R!h56*@FIgn}V#q(dX+K=Q%O z!q?=ii?=&v`?sDa2g~>_1M#>ik35U))+Tv0AJ`COQjU4Iw6t|HJhfX#2eweh(;~zz zD0Tua*^lq%Dw&=16Os$-Smi1-<1V@f8_btdmc!K2c8wNSUv%~l{-pC*R_G)q!aFHB zSmibh;QgJG-D;lX36n}ip>x|zJ;u?xASVsbu^l^xJxlHOVspw09AAT;=gz#!SAk^e zKX=!Abgc4siLPdwaB>TOvdr?JZGpg;dsvNln2e08=T&}X@niRuU>;lZ! z${5RY|ZW4$^(T(p~0yPaDGFU%`(d>!nZbLB4h%xt*w;QJDxzfi~` zmnxc{63w4TI!;HtZrYaidfa zm-}MhwPIYmNv26NBT%=5()fy||NF}%DUsGGf)Lh{kb>Asi;9D6ttEjrcPgzl#13c+ z0gB5&fItZ3PPCQ(e;F1cubl$zh$ST@(-cJ!+%PGS%zsP0l9NH%qXsO!hx_?nXw3*m zThZS*4RLyLhN*OGQE_|C9#IMUFfZo(wbaXZ;I+(0DqI{5vvw)x`6xAA-2CybMKX!-qS$O~rg32(i?78AybA<< zl^RK6d#pMqKHX#-9g)%5P85K}N$DI`5>rvyd!%Luj`grzT9YY)UcGIY2Su)jxqVp# zA}s?dGY)28-|c_tT`LGn{JHix4a$8mY83B3U?SZ5ZojtbiyG69@Z9usS$mZ~n*swD z!V3FOt|56n5hANABgTP~ciCJQ*2i%tpJ7!kXQY;}VX*kD-Gt)WY>FLz8pZH;pbehj z6(`cbwtkS$-E1;12{nZ?O8I22jR0LF-Nh^Kd0l(AAcw#vT2e5m4Eok|(kc=5180;b zR#~(~bx|zVnW(k60*Ms4t|5`Mr84qHFls(&vR3eCeHVQ?i~DPUwl*#{k<`v- zdMM)4&OuJP)RCL(83!``gV18Tl$Fh;C8ma6u5RBN>mED%!GfjBol5jZNYio4VcZm% z;AWAIiWv(6<-x4={ILulT2Iy&_TS`@V*F{(I7_W>AA;W8<8j&JC-G#Hqa@?lu->r% zp-9Ns=3ypDUgtXLcTYxKa3tr5&B#-xewK)) zkE2`a=~INg$x9fG8zb2P?bvNdcltPyu17-EpJAc$2&&uI2-DRf2*?BtilCyT zwK%bAGwT@u(P{r=EKXaA-365q(@J+w2YG25Wy=w63Ux;jd)_poo*kN`$HJ*^yIGeK z7rpp-<;}m!h>ZTADfU|TGXHX1ePJoc-{C1Z12iw>Q!up*LF_iT0Bk%V7IQ^8Gn(s< z8ufehU^)GIdmWQvs6EJB2tytgAy-1atX<19CpoD06^?z{+~kQf5U_jw|WlKUykB6#@Y#Fs`;>`r8n^MHBdb$j9Ec9++~?`ftxY5G zaCJD5wp-D%ce$U^Yc4IA7O}p$EQBu>YK|mUu32F#*H^BsGt8*7HVD)h$v04l{*}1C zX`F+tW1Mp|OlqxuG177<-8sD%*hnh|tDh;K*>P0#(_UsKhs;x#t0~mW;ULMXyGj0& z@3Y1Vnwl$?)m^*ZR`(Q&MaCj|vdvsF5yL&!KEQ5M-Kui#X@?5Rbpux|i&`o1nu-PI z;-&@%|8iwDEIqG>O)&tIan;b=)F6}!GRvM^h;TGB+G+7y4XHn8t&y8{coYZ|NXWET zK&1)Am?Lu;Fam%ekJIL9v$KTYoYS{SV{Pa+XmJcWRbQ@2_Nyc7YY;>#9$Tk$(XFpt zNV*WMj4F2Jld*GZw3am(1ZFOYI-~4VHMGm^g2OvU|CpR6;RbF-YWw8?Vl-+}9p=u> zp8{Er>np`Fe_daZ)Lzkma$!NkJf4HbAKG;L++31MXrxrU_{N8do&A1Nk8bNbWijw0 zY{U#k?0u{-_;OytewdAfn6sraP@cLwIiiEW=j!RhKHH2H*L>}5j^Ve5!sZ3nf#Gr! zsm^|bC19kyx^u3;!m$;AkFa0gLBP-5Xgj+qE(QFiM4@&P71=yA3EN>(EIqA!eO8gT zkCrheOHj^n`%H#W-J9omigcUQR`}{V4}73;xx6|dFc7R8D5$`ul}jO*NhQR^f#QJ^R#+a3% delta 47895 zcmY(~Wl$Z#wkTjW?(S{@g1fuByE_DT4Q$+k+r}+81a~L6OK^7x?h@?nbMC8p@Ba9z zrh3g(bjNpYnam$*${Hm=zthP|@fK>Xed+v?c4Z8>}VPD@}IgmQisl{8^%VVQbdh0&k@N z8myhuRA>2kZGOePQ%3ThWef2AJ1Z9+RP8^|pN{zCSOlmEb=cKh5dXyxLw?hSWBW&d%g;>QDCnc2Oy&YiE%csv zACfT2XR8U5R4w|4E~PoyQhl|yq7ju|KEsq8L@OuD|9iv1M<8dqc$k!SKn#$R_5Xho z-P#jQ>#XQqPYgZ`0dOg{-D? z3U=1K8%9}z=+frTtjVs)B~qz)#df2w$vH;UVPmqRsj2o2mU7hi!7Os)iz@oeh|-IW zzwi{2NOy=~I0!8yFr{?RZ$olw9}Or?O2o)Kej$HTzpjvAY;l#!NX;z(kT#$b%H zTpH-l#-9U4F2{aDC94??U@b&}CQS2qkmMwm z4q|f6R=gu!j6rV?Q6wr95%PV2&AC}zG3}yk{FVrex(x=GJ@Kzww-`6a{Z?nkp@r+!l(w~>|0{h@x1NpkS;S+?`dQ&GWXaXJmpTqAulP_> zDm)DEks=-Kav~9MHojV5_h%;K`uC>9Mkq1@V<&PZ=E1yGrCX~g-5Yai>wb&q+exYG zxCvpR&^xm)4a_&vF-!Hn<6GM5m2K+~r|kh>Cl>VY;v6Gqr!Jv@4-0~iNNfSjX{o^= zsuao?_pTV%izgl+1jiId>i>HgKnk7lh$#**h@cDQ1Y#gB`~UwoTx1zIuXAIMY(IUy z*M8||yaJ6l=?0_FMNn>OG{P-v69YP$I*>&l6|hd*Bq;6LdIZmgy$*FIsCv#w9SKZY>}l)Gr8c&IBs1ID=eM; zgPwyX#ijX=N|JBIfgtR!S8%r2?b-Biqo6`!Kn;Ss=n!&5b=(FdC8rnyT_&8l%=Hwj z=12>ERSnl$xjz~F7|{QH#F`;o9sGR5Mc`5DPb#kZlPlvo z3hs+#=%vP-fvv=1MpVuzmRioX$HkUlvy~8>&_0XOIyr$*Ez>|CPO)Jc z58XvK^M-rt-2LtQg6`Cao`GrV;EcF<<$}h%UQA#*Y8gb8FZtceAw%t*E;lgWn%v0Q z#8&R$Q!&8?PgLv$p0XcceJ6T}C2O$Y9r}ZOM4FfT-!jI6%2y#nNcGvg+(_y#eM@Bs zXmZ&CPTl&hjE=-4G@V@+Q(0aHe=&-^8S>|Oq%c!{m?CjfuAqlO-1rv1aXT@(b{15i z@|y3U!@|!{Emm5cDIis!KNtbmvgD4L<*r2vO!5P4rMFvL0+n z_1*veSj+6u(Kus#*knDeEr8?8aLuPZhN)`s^1L##r(N2K`zm%nG)6iYJEqJV)UqNr zI`&~r*jb9Na8+-V_HA$>!cSM<*{@+sX;-^_i6yY)OqsmRRz((oJ-q{b3%%mM5F%}1 zje?v<^CiG#g=8R)>Mzn4G7zHZBBc_(;LK$RQ4~&&5sCbFz;}&^Eg6QVQA)&fM;X5i z`g>%CA;1GWSd<*Xdzj%a7&6XA3YocdHW^xTtE=$IC^h+W4!YmMmKrdht{7Ev|C!qW z$7UtzH58;kCusFaYvu{0L>CJ$g!qliCtG~*sp$_DEFO!eF4`uM!MBcJ!8CAaFP_{k?@!vfZ*T=exi!N&`dVV#)IbxM-wLNZsWibx_ zhz92-hLdg*(Oo#UK~W0OSuF)6+GY@B7&OPV;7g8NHij)g3YicusQnM5kI?e7mxuRS zI*~%JhF$2n@defQivbFMz3f8!4i2Xx%dfN{7>D7I3);LH)Nt>dZIGdK%;6Bt-H?jQ zN_{z^h}}o4PrxIfR#W`OYsJZkoCqDwAJV?wT`b9j8;V4T$PsVAR@%UU_f!o+_%uw{ zX+(h5^1rp!Aw@JZ&WrK@mTFOmLU;yu{W&j!I%iTtnBzkuQ9foKF$G81^g!j#mYQ55 ze(OxdxF#(|F^4cJ!#dV7SsP}`U#_1R`Q(173Cgo8E$DR|&dBH7>N4Z3%=W4snCu6} zqjXuySHck*=w!e0E(bFp1{;3OYGHXaCz8E>lmQ`R8T8#j!T;j10IVRkBtyoOc6hYL zG8k@100*eQH?9GagB4VtYDnAI4$s5}5-rzYOu^(o0VQSrC&cxi5KZHPzyKy_Pd9-E z$ieZyM!z^61@{eZ+!l$3$n|Vp=PrPqF*bUR0>l4X{POaIGyXC zNuzEc`jX`LZhA?J&Rig4O61&p>7-=)>)j;}P54m*#D&k{WrVWEzm2W$UH9U6%aj6y zm{wyP7&RLmPA8$W!vuP+8n8)EHXgQlnJ(WgOg`FYEM2>?#A!WWTk$@9M)C-BO3k;I zKvuRb7VS%2<-cdrHyc>6e}qKmR2e#l06q`5Mz4Ne5JOYm0cL^xd<*;M4)==enXXDT zR*Y$UJRfVvkw*F%2Q2H8m#!h%FoRfJ*uL{&EYNXH4i}-AT02B)6KO_0{`;FVgm@oY z-I(Uaqj~bER5T5qxo7q~Ys+kKXQkIq41cdowruFH*g(l$+I+V4@4`iWkM|dLc-oHW z_K~#9yz8*=FfYI{Uu-5vp-zg8=Y~Fu^uI#yF`ZSGZSD64tf-xu+6A5j-<}3)b((zo z6})mzbRe!R^ZBo_m5-wHqdf^pYp8ir9qSdOtQFl1I>%lzKx2W0R}1Hw>EES9IhhX`6L&c{Sx|l&-+~g2 zulF=S^;Li!LI?(Is4Ux0ct963ilDeGGd%b5^t(NXo6YYQM=mu6|~aH`?^v-Km`eCRMZSkt&bjL17|#W zpt_Stt0G|9NSyRWtOVMsvevic86gsG2{_T~t}5u{6HO6fGGfEx{WgDmfTI+EIPb6N z-{lkwr9N*NVuXCX???MPlyy{E>8s67mHzi`G1VXs|x_DzOR{Z z`O(U?@J3p?r+=q?cZfuP<36)PkY&~u*h=M5eq2CFBKf3rtKk9F9M$@v{(bv)oTaYd z&r}38ev}6}&zRIRms^CWwNTxF5;mA;&kdVLWbU$hNk3~o@~=5`Ga z&D1u;{EnZ6|5&PP31y(C`MW-9OEU_P_)~!DN{&Tnl=`Pswp9Z+!S|d57DhqC^ig=A?&AXyMT>e-TX2hNww!Bsy99^R@03RBCq(b z>>#S0RBzALaFi7>7op3jOfU+RxUjPezGybI2S%lC^j4Jt$Alj2d&k*S%9YGzT-&noakf*9y4RbsiTP}$fToLbCoA;g2(aYL)0k+-RULvFsbLW#1 zEgDC@xL-dWql&s3zq~ypYXOwR9w%5nA?F6=LG_FASSi{mtSPKIC@IOI5{;>vJ%E&~ zw5>)~9U8_IvLR%UK$0P4N?2$KBqs+*tWx6(Bq#g-jLg1aTc{w`BtxbYuXMD=loWW# z6qRu#&;*P|8GsX1mug7Y=#^f{3}RD?r-5SUW=)YBq5`H&JJIww`l&h$&uOL;3nd?I zohlYb(TNMc=TR|6@B7J6!>4?Ph#0LixC9W79AI;XecL zCK0CIFi-=db|WpjG+rFb#&X&SS895D&dm38?GL$(u5+--B;vCxO89$N|+1 zOYC~@OuAtLHg!uqD%|`U-+u1G@XoNl9mlW}oF;j;laiO-1pBd65HDNAVu$_t3r)t? zbHVE?j&ymTdFQO+sKImAvf^MqofWyYoG}#qxL)+7h(W?FvhqYh7WUfmc4a|fW3i|5 zEm#X`xNY7`ox{DXKgm>k=7`c0^^QNqspwCZw+Cr=u)x5P`n4gf(e`y7x?J!N#mXXM zb0E{aQ@Id^h%XusDr0Vnq28Q+em4fjqSKG~s6{=s!jGc;!8SpLh@OX^y6gD~2mI_t zU4LcJ_Iom?tnwHmQDD0A+q8Kk@V0~#u5ip_jr2sgFEV~PMXgp$$GmE;9xARKjA7}} z)bk1Sk~#)0)5b{HIdQIW2rl=}Yt;`5r~pq&<(z7mlj<}aJ>~`H#Xb+Bb<;L+jS(=N zsp}c-_+c|cS+M34BH!A}P@vXO^fG%f-2-Li z-phVipLC8>0CYGm&Ql{=eP|x>& zdH1EB%NI=+gj@Np^1!KC)rNwD20*~ckHc}c7|O36<GB-@kX2lAl`Xm8?Kb0E=~w>Km4cx5Vm*lBf)I{2T^L z65mcTpK>w}%rAWJah^+LCf?%uo@htbAOq1sH%&X*6N0BFdJPgeyn*dO$qC?oyGU@z zdJ7;(sG2Nm#)&7NWZEXl;=8-kf|Dr2<^1(#B73I16Sd;HtEdF9Kdg9ozKlpgfZ43* z8~)<_o4?K2iXNWL9cYP$XIAf@My8C`>i|~MoAE&3(H5nwRwzi@acEFhJW=OQ{2u4*1P~?afiAQ13rUg{_Z|AW@N>(Ox$xd>1)Q5I6es zSc29_aB1F5>230Bw0ST`o1p=NGyX>$yXsI4Y4a>i1=tXb!jM5{D5Eoc@B# zEs0PML})$5KTqlCKN!C^94f^J#>qw+NSZnlBk#2&Fd~!G6mspJz~l-ULM*zl)x0{F zyq}}#7YRWQ6dAvo19Je71=4-K$%re_!}6H7jsgz;&xU`ee`Z-<-Bv7#j1t{L9vc5O zO1FsF8%aob8C3zk$l^pe!Hy;#xk5{?uA6)S+ICgTK)d`2SO7kdSh)s6iqRxmO3e5( zBo`~FA4a1dl9TU$l2)V1Av{PfHV})KrW)ufn4U4T@F24A000IF1_cHU1_K5Q1_uTY zh5&{Lh6IKTh608Ph6aWXh5?2Nh6RQVh69ERh6jcZMgT?#Mg&F-Mgm3(Mg~R>Mgc|% zMg>L<_63Xvj24WpweaBUYYrqA`+s{K3GOu*0~jM16BsiX3m7XH8yGtn2N)+97Z^7f z4;U{P9~eKF0GJ?{5STES2$(3C7??Pi1ehe46qq!a445pK9GE!8}LADP|QA>rjV`z zT8v(jxjnNRt(UzY>PF)^KXtp|XvCPA{Je{^&^m{%4W?Pjx^3C1fKMJ66-7U9M%|V# zon_|a*ooQx(zW|gL=-6OKX!fG)VUc>yHSoUO@WL9_4)y`W$+?ox$T#0xFPWAt~uOw zVc~Kp>Xeo^7kV${_(tU9R|W9Q1u3X&2bD~_n_KaWut5j?>NtPn(rE5zY+ABk53D=0 z{Vli&;Z^kWS&0dvfV=V(XNtt`Kx1MW@x5(v73bxtf!2D`?XyRFW8LsaZ_hsqKEL~- z!?ymgVm3h|vQe{n#iQ={V!Oa&U{9%2Sc#X+)#rFRAaiBvQ+3>4p`4vXqywV`Yi{D?|PMB##6Um2${?||AA7} zl5Y*`W9^F1C9lU|vA#Q)l5qCEaG(vCd^EH96fSmJoEw?uO@a6!)De>`8&gadlWceE zDo%s)Mu8q|XhVJ>Azyr)wrL?Dq5a9N`3uXAVG4qbL9bm!m{^37QEiPHnLD4hz z?$*8eEKk6pxh(xc?+wLkxruM`ChcX+0s)>BQ5zpoliBA7ttrPxN$;8kqI>(Pu>;B42n*Th-ZriPpTs_MPSQVs;ws0u|ua(UB=6ObWWR0s=SM?MC9DAkZ}7 zNb7dypcv7-Yt-r1DK1y-+MP)R$@z+%=+9|rH||0YgjTp86{jIOOE^sQZ7=$^eZMo^ z|7eDTGpwnmrr-7#7bR|ay0QW9gTU(ZaBL@R{uV<&vuihYQbknk{D=rKNhF(DtT!?P z&{=pJ`gyod(0{l)0>!p#OcyUV2WlSfxqoz5rK~;ES6xhtFva&EV!pAi3-Zc+vqu*t z_!53tMO4+XTIdjws@a4I5oD@L9+whc*RTRy?$GXUJ`3;6!6Ie1@&cMqA&sd!7Bqy)|8D>)IILZOb!}3uMrLq0b8=!=facZ{VIp zThkHQM9XL{FL}hVi@aEWbh)m6?zis0_}B@WL+@5PB+=-xK=!!&u{HI0w?7`Ii|^Ky zPR&y+5@Hya(bMb|ukyP8lML4OFT9?ESkL3%{kc%>4<3BfCH_nBBE6B*BdL$YOW%l0 zmHdHktH)z6Jn%WRZ+mMA^|rNd;_(?E1M;`$dA0cN8)jyCLDZfZeyVKPA`#0IpfNTT z%fTuu5X1XK)w{`PZANU-Zb3?F=$ha=jd_Qf@WA$bO&ZH)UZipVjGZ&YNGJk3v0o+r7n(M@6R^$nWkWCb!olrkC)sLB*NOEI z|2N-^90KVQ2M;O;HPM?jdjwfZGya{db=_$Fib8`m?uCA*=Lt0c#Ez2s5}u0CHb)cn zID#8dt^G#@>Ok(77Iqy2v$cFBIbm&-OyNweit35`>)L03FWJDS2%K+_5s^y@7I3Fadg}u1!=O{fGzt?R@X?G}j2pp; zSwsi_6WyRJ7{WvXz?K^N5oK;VCQ(NZC4K@IqfI3`cv^1M7dU5^+igQKHu~Vlu_nA9 z`$u1tQxJKglbqUzo<=%I=b_5Bd3vic(CWbNozOAgwKPj#0YU5;o$)FJcSnYh8?vj? z1SnPI`Iz^>K(&0fZ2KC^VPPj)a!<~91xZnDt)Ikpq9w$T{mWf+sWr#4)}2=M;7v^n zX%(IinX%)yT-;A*WIrRgAJ%8Y;KxL@dbPtFlOqCPi8H6_D`GIMjdNPS_b3SceBcXG zH;mUwUDPxZLI{gGAt(i$%|uslMb`211ASsp!k5Ni&M-_JvXGiI5TRUip$I&>F*u2| zQxig=Vsb=l4d)yz$yeAJ`kTK@+4CXB%NnmSN``)oiD}H5t(w~VSX2>l+B9bylAbXq zpX@_a;-)8rkG#(Qrn@xMt~k$T>yU%gYJjZlV^C3KU-k@mJhLh;4q7cgO+;G6-XW-H0eH~9v4tY>2oH5> ztD36ZNE?(`mG=&5eLkA;7}UPgTP}Ari*~yAa)a1H>MG}e>Ie2MD!x&m0x5$=%0b8pSUz<8IflQl(3gL`+f>W+@I&SczIz6Q5H&snWd1AlmLv!oC zP{wJtId$%zBzg`e9eVH!2OiDu~R9$AvKD zTn2Sv)&T$Y=aqPU-sl>gla>aDrpJ^K{iACTP8z`&0HU#1kCjF_&ejfEjN@^R>B*bfrTPU6zPOR;XuF(=_Ik`IH-3cmJ z&`$%*E+S#Jy6L$$B2CvKQZ>*=;wU{)7|~R_9+lKgR}%Qgp(ogj;XtkcR83ak@87OX zMH4h(a=R)xqe2xwqB(fj#7zrm zkXzTEA?#xNx)I=KsMCUByF^9o?3%pl+KEyM=~}A92co(VSAfTlnte#$TFnuu+%`zj z@Acn}GcfrpcDI_kTfSaMV@j~hI`^L9y&p9kV;&F9XgJGWoG54rfWGpFsTI)D*r84s zYYb{5=qhG(U?{Og^M{tZ{itK==$qo2GaJ^BDUKv=Qbg3K+-)Y<%oFpi`D%)VYdRg`j^kc@twh(5*f&5e$ ze?au`(~5yN?Rls%2$JKOc<8(99*Fapqc_Vek3uFuWTZMqDYhn{X9r-y{g{fH_uN3s zXGD*iryjCEEBUo_^)`%-`_1P*I=V!NXvEgF!(nFu<^%{o6SUJ$035y7q!+_4DF#Bp zw%Mv82A;8WDX{X&Nxd=A4}oQqH$sGvjDf|$Eoyzj z-2;g3L2^LJPzE)GQC}s#pJRlSefD%cG9=Q}TKT%)(1R-`k0AFk4Cc6OFfi69GQ({h z8jg54kZu{RlZJJ$^)A0umRIm{B3+cWZfH>3as^co7Oc7wsGW`Z9`GwWx6efN0VD^g zMN)kg9~)35@fAxxaZAPXhcs2i(8W31_6-`P=|I4IQ+z{(j6wz@g(yxr*ckLEk+zV( zq&zICY;-}>{j82b13{6)%oeIGI%`QyCRv+P-f+WZ`+HhdzgCwHpL?OwT~8wV z_RRwG%t&vA;X=g1*$Tqi!ri@nV5}6Vk&Oj2%^*hc2NefTpaH4#c?oLGwz_7LgkjF1 z3Ulbu!&revVr5_6%_US;!_nIqq@j=IYnmx;4Y{e$#i*y)Ys1Dk7iyKdMB&l6p=$mJ z?L>bupo_|qObCevDDf(!YsUTisXOneG=&GW_miKG-+S~Q0_;!dX>d39GddvSE7~}v zKr*-_4FnxWFytz!O;caztRE}76h7ej`$Z5ahn;1xSgM&hHNtJd=M_kW#<=U@l(iz` z()N$fxY&YVweJL5%iQd$eoN4m|0V`;eVD7L$f9w3=>%g4%H5l@X*3nlBW1xl&}9Nu zp`|#=J6tuky&B+j<{jU@c=-99XsVqAeWx(s&(yb4iYr8gvj8n0Xpg;Y&~st4fDYSw z`AA#NRw;~2*E`({KW>Xf>Y8mQ_Vr(06se^Yk56~5eU)^tPYSag2W&js8$frzU(_lk zKjnWyjhq!do7bMw^9A&7PNGd*Sf>DUTZe9?%6Z%$Vvxd74a(cLS$H_Sv$4bk_X1a@ zNJXA)7}%@HB)yJzB#yVmr363H?^pxhP=um3RpN?-fUq@1rLL45mK_1!x}_iX7PV0p zV&_nrkHmocpnL$l=yLvA+S_KU|3>p!Nw;6_XHQm-x+~j&;WvHG{(zT5x4{xWn8!_=;S8Q#;~?XGe${7#BCvFJvI zLb3{U&pi~Cm){WL3KxOE@@g=Yu2lbmaj&N z!t_AQ98{00gpzo8ZKAu-_Xd3DqllFDeAtHX8(S`j8KdZpTq?!q?Uq2ksIeU$KFU(- zYfZO^ZwH!gyuadk&O7rF=ZagFhsYUQiK4^S9c&=lYQqnjFSNK_2B4viubEvw8x1l! z8-@`?mg0lD{8-ZDU8ZcXej`2sMNCE|m+B0WxujiAYJA*x3s|E@{m~&pNl+WY))bE# zJc2Ov>>`jZJedtBM#6wUoAE08RTK<6)fRP>$n(xBi=D=a?u*E`3jVSO$a^G-(QFHK*sCCgK!@%aMi2BH>MT5|9u=#7} zMt!JQA@8q9>dmbjjp~PcYO#WLb#kO*WB0_x;Dc>`)$&>X>3kHYlm6IP^z}4w<#>Xz)Ad{MWbJOUE_bHNx?sCO z8q3ES1PrM9185Of-QoS;9}lPYAT~YIqg;$p-E#^*k~93EuSks9gC5-hT9MyKJ#fpS3AZn z8v!y!?80Tb{cydQX@{vCm`}|4flC=3pPS>36g}wMiY}MBU0S9U42kpIzl zK-CwrDLiE7YPng76z-)A>Y)~>uF|Vod4Av418U#c03_8J2x*ENJ>Y847e79<=;qDX1+YBFy4sP6p^PUl`6=ebK9%JgNlhQ zr|0%4YljLTpT#32>&T|hL)2D%HVfYkpNrSkVO-xkbiCPXW*~Oe$8IJ25%ZN&mjb4K z=;Hk~Ia}&W7~R!XnE6Dhk&8y)3YS@;hBdCp=7+9JDT0nD3237SL8a>26?k{FnEzIc zS4(g~z-9q&(^aGEtPD}9iQZIrAahcV((^ptMqf)4wKSY2Ww1nVtEH|q z1+Q9OO6@CUJKn#;qU4(Ioxt)8m%})auI_KgetgCojUMV|65F%bdrOxqdgR!%~{`~b@F_Jr-?x1hBXubj~{4;);w(JR< zKlQv;0g0T90y`ozHX}h6m4bDAKR)em>^3H2A4Hmi)b!LL*fTV7Lkr`ao@+%c$MVM&*;NC{#_6T1VvveMbQ=sEaZoh@(nmcykev z{UV6s`85k%^pHOlyud>CzTFTt?Ip4$CI0Y+e3W9w1auk*mRvfFq2!gaETYSVKxN`4 z$+ZWJxpdIe6tptEbVi)vhxQfsLrCZC^EI!u;g@Jx-j8o;t_DsCok9C&Ybv#j_%KO7 zL(6B7O2++K$F(L4;88neCqMZBk}(-_WFvcCe0vN{E|BMAY~kUa2Zy zyLd?RG?%qlssXh-E+|Eczgh)1>kEAtVc~m;Zkj`Kn_*xBGN^b7Jw^Hb$02opR zOjQPcSi9q3eWRHAZRCYZVpWcB@MoNFFn#>&qbFrp!N6}B7mnHgsMe2|C)&~Ms%1e1 za85@XR()~VDB@m%8Y0Jhx7dO-%y+4?`8U|oI5)U|4P|zeX9gpNF(T-c35K0GFr=Ck zWZF442*z_1JbqCe#(ZO4Kwq)sb1sRuuxW|i*L82>@+tK(e8-u}B+r^6UR1u5eHp|w znd>ThLjh*V#M7o{xT5DUalj$G6DZn*>=wc>s@j%Tg*ZKUs@kMm5SYt;5Dhp9B%Ja~ zcya`v*1-W57Msj$Ewk5v@ zs^uBp)>wM~=zsfz^0){YO3b`r`q*3Fk9pO=`!k2%5npHaOudsLa`*BhFeLKKzHm8= z+ZxE+#J5^m@#~`XwC2F3RU0ej8^AJ1+cLww!L=txoMo-qoj%X-ta0yYek0A?crz%3 zm{m6%`C{=X9bpG}6g`}%E%9uZv6 z$vVd1ss6S9B>>qwg(sI8?Li`i0Kh#4P4%2!jE|-x?q(i>f&YgUH*SZ#bMdz)chGfa zg)J11GgKd8xHANKMCv0Vk)bM)W#SW`aKZcW$fj3gz{;ZII&$r?Cy8)m4>$S6wiSFu zW2<;t$mBpcV?UrkJqp6P$AMK(sGtP+mvqd&?ZoxuPQ0!`Z!d~Df#`EI)v?1@q2g0GEx~O-Sfq1GSjc`T8d(cr4HjQF;_R+61#usudPNa=dU&1 zenEy;PW0t{C+1i2b^|a4GH!iBlRecP`9J$#8zw$!q}Q4C!1aVRBTqi?-$?rdc@JVb zKDqwBT_Q);H(l+aeF&F)UZn_;$9Nc4KvL7vb$<5sa&wB2^Qu#NGDKOXSzs%$qK8m5 zr@){SFrbF*@|{y~Kqd?i>ZYN%{m6E-hE1~D@d|zp)pA^#!5&+QYsxgrmxC28;I;Z= z(T)g#u!g|J;ge?k-}wFb3NY?I3w(k#-9|d0`HzZvLzpV~G|fq56WPmu-*C?8@VK*GsUpPy3?Q>O}M9lVg!HTUphqCP))NU_r6!ID+WpHqnvZEC{ z_fv7kWjm&N*=Prd){G;F(fWvBVk}Zj>L-2=|5@T zT^;K)W2x3=UiZz1Rz&lb476`eL_hqBY-sW9lV-5d_5DZT94LR0hx~FuTjS45gr+3Y z*zYq$cOa2 zIXEnNG55kFtZ$a*;wuUqo1jKMuu}FTp1I0OJmTyW-sHbf?uz#$EqzRU1pSp>7fdMP&hKi{Do<I8*R{HmG#sc2mD(4t+v;hd20a2gathoNX#tK$zYKe5PyMo~F|x2jIo=)Ud{QsP1y912a8K;K>T@KbgE3RMqW}oaWER$ zt-9c_B3WYrX6Rn_j=Ji(UMv==m%c&ue4m|-c6T(Pgf!%-uEhw*stys$Bgh{qn!Og_ zetysGtKn0u82GoDhuOb_w5A;L)nD&Zs-M?v+MK-s_{{r_t*pnKjiV*wg@ti=I!iI9 z4Q~W8s6Qri2(nLCH0)C&!HsrkHl;S8;f<{o&$+LR&G!c?D9@y8gfCg13SFN)jF0my zpfBlpT*9N^pkD^=dKGO>#xO`YvG%?;>1ZbsZO7)6eYZzci{K5_E{aqUkNfRXL}jdL z6Z~@oh&oh*2&7Q1LD_m@rqK%kZD(sBgA^(?hyiT>Ex>4fw9xoX0E-t-2BM+y?ZTZz*c| znr@5?Xwz@4tSaTi7|jdo1>$R1MC*~>CQc3mdpFx)2PmZ2k}s6fCep1TI0;Xn0?af6 zs&%Dy$*PcRW4#7D>^jQxOf+YVJH|1}q&#m5D>ErWwA9fJj10STUEH;iD1bCNAo2Qd zoxJ|a}v}Kby-JQ=Bdx}^!5CY2WJ~+~6*Sx@oJ%6W;V4XV>I z%2$wE2Q%SsJFC_UA)@Dg3Ze+qDi~SmHSjXoO{)gx`hD z742MHBY~ErZl0GEDD*vD8Div9%eghnr^>n{-x}gL;eGOW{`4dl5fAB{1sTa z)e|~`qxg&wSR-_t=qf}BJ6=|~hFRlkJhNtG#i%1mVM1Hj(+>4I%?;ch1U`Q%V2u;7 zjRM8W1>@$?(25s+=ppIm#i;YSZ;KLqtq&?XcgtaQx;E9+W13J-0Jd)K6EJ?JrB0$x zo2ev6{n7m#Fi{-Cmy&F5mTde(_kL^=s}49qrKXSKRV#KTm9=%wYTS+B(1O}eJG3^P z3N3B4{dEJkJyK8y2>}dYFeMACMEp)i4YhVDuv)K9~>0zHvh_aQlzZs5V3BX0cgbuTgSK6e@R-EQQXm zXw>KB>&F%vvp9f)I7EMVxsP2RLrEvaRVt@3w6KWo?XV_Qz*1?MxNiAq+Ui3$3cFZ9 z#>A#hDts3Uv(I^UU1zS3tT+h<|**-o4Fm+PwAB`nH#YpyJC8B-kBd`{6F{mzI<;1X==FPs<4u3^x82@^|_YtK!CxFx)(KFcIJ z<1jBk+yU}4>SFxymX~Y8?phApz$i&s*9#<&6Eb!vNB*V}-cu51;{Y`u!fokbqVxs( zK?*cejCszcx%Yz%6R<>z1QU@vnS3{h`9~tyOl4@Rw`>Vp8ztF+;yU!nJ%NSp(ORqO?Wz#Dl++$RJVfr`n?*1nj>P`aoy%yx($;q|Mi z`Dh0JKXP`Z%8|y?Siag-=c4U(?D*3=to`m0OP*!q_m* zHfP}1fRmY|dPNCh_~USa6KqIIxFd&cDwq`>m~0+NHMUuDq?{*;^diNdN5wYyE16@x zUuU5Z&k96D8-F*Cuv^lnYf70)#>AuqYp`U(&lv0h7l+OUfE^lW`z~$8F=ki-W-(yNoBBs_E%RP=i zzeO34Br?zSTkc;TOQIby#$z$F^uFc6`-I?Xj5rZF+#wqh-q!0!Ppr4--a<$J_s)R- z&j%({AaNby;c0!!Zq8v%)uzpE`596+%skt=F&-xNnQusNi5GY3KK5*EiV>G8V}JkochXON1WTVeHH(J^6F!f{lteYGeT)}4RXFcWB9{!r)M$>N&9 z&t4Ze@;dyfDXD+hn9qf6rvo`%`p%Ha*C(69K*T&e0T#Nvo7aL|H`X z*s)S>tGeUmv;*Zhpy$+U=3ff%=+R4nYBxv$C^qlTb)r+c>J0Ie8c)gn=DIlKdht6a z7#rY#bWg`8C%BqYV{q*~Ho5uw+sZ|9)TtV=Yp1A}>p5F|x26_^t^uoy%naR{K38D=$y11vhSiX8PeeuDF`hsj znsn$VI_4giK%}7lIJFT_Dsn!&8X4JdrbOd1(k%>G&ak&r_Y&=iCKglH)KC0Kbiz# zKB)B^d_j~R3r!WDCbty(mJz^H60~xToidV2Gbm6@R@fvHfF^&3DKU>`3n9v&XD50> zhh|S;3FWlW{PQpK)n2R`x0rte%Pej{)ZxB^t4)q0Z(z6JpwkfbNMV&`0**RqV}Hr%DWe{pCQz zXtqW14qqX3DoHP-XlHCL`$~ZV;W8wT15Xk;$;jga-;enZ?LxVs@u)5LaM;YuBkJP@ z}e$Ps9`=OOl)A4C{hBu8_ z87Uteu0jOXLHj@qzi9>;s^O@s(#?-81L#ezNW`?8B#2}!tuxGh}wqR3foJ1 zmPmsqJ272ZyVOtpqXc3PTBj|&I%EonLxjQ&?NyfPsYzr`r!cDeNx3aQ)6+;tS^9@M z=~;F+(*hlbJ0i6OC5mQ$mHnD?cW;eF)OF|Ew(LBeb3%#8Xwc6gH`)_8-W~8@}i?Cm3Y=hMTEyy;^3!T;oj#>}(XL>tj88_<(vLT3QCRz1=$hQJQ*(^xL7wEyfx( z&Hy>dg6#9t%8~E|BvLJ!wCnW!_R0nNX^@l%J^$;h`vtiINh*c+ZkXszcLG!V+7~5nskA$P|7qKnjkcs~rz*V1p&LqUppgC9L$~%@n!IG; zu3b>Pp5iut?`t+>jNsR|1hQ_|wlRLF6@&XX1~5Ny{&Y^iOW+kf<0>o!wK@v3>(&#u zyHr2533vQhEceMoY!Iu0<2yCpH9gmU^}ogwjE_~Mt(sKiTRJ|dLG z)|}=$oW&Y%&|f%K(EuxFN%#j&a=o0J2-0J#>GSejSGcCZ27kS&CxIMiC>b4(JgUVk zBNi7iV|(e?ZQez}{AHiYp-gYQyYh)j+4p-U;O<(1|paz zH`4U%*8@v-?dIwdRv*3!N)Oc7#5}=GPY-)%CP78b{k{BY#&Z1Fs;<^lvg?OblhjOC zdaBmm8q?#>JC2M5pBoa9`(G)`QwC@#HlCT@SUmo4WKeeGERnyAI9v3uC)Da-kj-x) z3|&&+6B=?4t+>7Thp?7euhyW$_?Ajss{rfs1F|_qytHa^e}4qjPVGP9?R;WUS~A{b zC*hCLsx~@x;}hZ8T<;}oJm>vKVumOJ_rp-Ekojxg-7`nKO|1Au$k2yIg98M+uT`1* zN+84biwV20LpQkXOsQNac<&r9xAPaL3e6Y=?tia(Qo{#ZdFQ1lnMupfh-Vq@?I2mW zBcI?Ko7H+37E&74muHW1Oe`w;%sBkbNlz;m{)G^y8NEwvu&TLZ99I@hlA)Q_o?cVB ze*Tc63=z^HcunhN3KG1gKA2~f9_+Rtmpaf|wd7CLA*?LXn8zhgY9C{WIyy& zdq?Q&0dhy^dfPpDgT!dLxIcFB$-lUH210Ta(~yti!+mbhO&Fg1HlBK&?ZM^!?RVSK z`z7RU-0#Mc>#Y7dW$__8lhtb!^}Y@flj0jcc;Zuf*-E#G*|(5-#qv}S#Ak50Xf^5* z0^|wu{5T>I&D^fBnYo`GG3A7~HR!1mk6UI*9x_;CNP08ok6(MFLHRU1sUb&{j`K*- zk=UD{DZ^@ChIo4~GAAf?M%p_& z#5bFc_KLzoBq=_I;p~dzY%a0rdh&lX4*2!QX|~>H(Ov3YuU|7Cr z!O9&MD5)FsC`DQ~3Cro9DQBiavovlkyUGQbA(J&$H_kpcZqg;?tzJ5C5I;VT-LJy9 zVKBBmC}twzmH0kFz|6COaHh9(W8fln5RM`A^gF(xbL3iX@VC8)I|)W;$()WoTnH z>PIG>?4n32lJPpx?G-PU#lD){+X}L)-_|qr6DGe;MO!b7Os9~u(VT4Q2z1_NzDFS= zFSL5FBp!t}uEgzrMY!*&u`E(>X4me+==%}>{+z(WhW4R`Y}Znfwfok$PIptECwNB9 zdOK1Ei)8kRdQZq3rSi4CK)rFRsA3x{;pts4Z+LAVPK$ipRFN6`#7(5aPT)6LoQ(W6 z2P#Z5w^P5#gU$-VB1#st)*&zVZo;H>WZ^w3dMBoML-7qy>f^w-e~`~|!`%9cg*J}g z7#%b1k5^OdUw%?pE76_qF~;GUQht}VwxD12s`cChKUV33`uF;i3I#t()}tYpu@Dsw z--F)G9fdNQJoVw4s?PQM@9I;lJ{j=4sU@#V69i%})xre~dNfa}Bh*FIhY2uBUQN8f zllA6$Vz%r4vNAHca!@>xzgm$FN_p_&=uWh%zhgq$Kf=- zV<1MGEHFWY8^;phE=C!PJR$x#gR%PmU5aysx!XJ;5c|KU7qo8c)nS6u3s)a5BgC%G zFQB!U^`J*EpRXP{yz8t-i4+(5Uq+LOamwtw>megGi&-Tqcq>ehUdh`QXBayjtazT=?&hCI(CQnb*fQvJ-;l1{lfF$_g|rh`}^2M-(=OC<&8JI8eM0e-5Fr(BCeB2JhKjuW}1jv zhqF+5ZX7ihJT$uTfk*rgFV5b-9Nj|=ThXNh-+T1@Hh8ivdK;!prXAD zOZ-Jpjy$Ki{O}yvSA!At>V)qsy*0@HT?q9{4W032czMXwzp9{XWcO&8I1JP8g$nPZ zlK5nbSGBgH=mL>Hj32TWwtwVI4JITC59s-sM=*ZED)7qWFsc?WELy&(=OH#d6D2r3 zh%_cmzmzyz+{rm5&GbMfAbGiMxJi=dDeWk_rOs zvGFJnTo*AGef;-8l!%$ir%U|$a+qYPboSe&cg@CX+teC*bNoN$PD^B}S=6u#v(}2X z%aF+(a{Sn9PKJANj;1u@nCEJ)TT)Go@^41ZT|3%+j7;|K8#z=mqnucxeTQ)UegEj! z{-(1RYK&F9k9GE|EB^U43hbM=O0=k{=B*e#$Z1K#sb~EhY6IjN>x*ur_m!IrBUlai zMhO(p6GlsJ&g8#1$XmEQ^X&AOmZ-Yy*OQ;fyvnzKYk8WCuVIJ|*`v~rR?)hu(2zSy~WjcyJrZBsbiyOrjyn64oE;aYr(K(zWUX0`TjI}S}DiD<)Or{nCHX%LyQ~8pFfAIP|TM9ekHCziFp^D5%(-W zspm!K*TmbZll1KHQO{&N(41 zX2jJUCX^5UjN?_bzH7~&g*?lGoxZ0gOsLq;kWe<6_y6R_lo|RO;xCA-HRGqTi$C!d zb&Bk0TDz!0=kKK5hH))Vv~Ek? z-61wE(8aBLNM+DfB>!4`DUP+TW2Q$FNiS4_DdfMDqEU$L=@s=ukzvHGJTWN0>`7gS zPSMx17zVj!>EnSn&J*zu&KboiTI~;<8fWKoL|Yp)yc%=X>_g3CgmS{mmO^jP!PrcZ z1k?F(Hpe%)y|77dHoM`dHTva#jK7DcB%5)&nV3*K@2zB_0rF{vgkx&H>BL`|3#2Or z9%?v?z4d6Y_RwT-Z^Ak#?Yq^CmwZKf4X%Rin(()icaQC070Rmwy&c6@~%sZm~9){a{K&UuV#?h zT0ig3sv_Z+gKBB4(cXYA7nv5)H$=$O2SkUx71DGfy`c@~?|)?*kc^F=C5!nVo(UQH zykVgyNimFxzE8(vrc?M!`KxSuaU%gM&O>)aR@J-SJlr-7%ld(bc)Hg5p{GK{91qn7 zEZl!iTxZX8S{A(RtR}cFM7Q;f|Bcr{M!}I*t|eKpVYiKo(u7`p1-0fr&UOz4(pMxm zKaY}|6c&m}?|5z}sM=fXC67>$7?A&zUVIC~Vyr71YrJ1r;ms=@mClky`WrfmTAEz! z*lcWT{3ddbunxkyVV z;>z2($Ccf0;I!+cB7m7j`O+m}WK>{dO94xI;f6bXoOb=C!jsa_k1Mnpo`YoT7x>q% zo6uHiDXIE#IK^tP-03xnIrJufDL7@WnDNA>%xkVvEqnasH8ZhmWp>8<~YAbx)W?=SRST z2)3|_%5cn2;kYreC`8NvUOHYbQZQ(bw`+L8bH7Kd)88OO=+3h>R&MoG_b01gng4d_ zc|=Z%Wcb@!c^l(p7m90A_OCiCS!ssb8juhN(+~#;|FkO(;pI5G1x-EuT8pc%*-i$Q&De9h{eM0&!u-db= zT4?JZ9SkhY9M)!J>2JzY$Pv5!mD*btRDAl#-fpu%7mm)(XfT`e=URB|mVRMJ;ibiA zh@;2%gRlXZMHAWk)$zN{2auUfCJ$RE)0?4!1^%T;HPj`YFxfp66PBP##}L63pSoVW(TzMfb$g<1az`i`sV)3z|N?u=P=IX2~#R z-}br93g4wPxoNBJZgTiiK$Y#szhCZ~`=d{v5tHe9-@$owUwj9X%l*d+a*OV$_uk%! zVHjU=A}QjBbNkai3pb6jyO>Sw!KD@r-xGVcMSd0J-N|X9Y!?>B9~|1jDkn_pcrWIs z6g3-)RQTm-r*i6yo3_@p(?$~`S?XjiI7yG#tGe!Q=y9tWbMi0k>hgxB42%1g$F<2* z54;cer=rKjbL7kpz3L(ei;y{yyY96+*gtLhDcORu4{XSXSkCm)ZD(YQ7d?T`^_s5HQy>@V~`#+e%{9!3;l4zwp_-p{*QCk6-_JoeN(kLQ!$`^61au z##g1YkZwfMfpvVwZ>x|whd{*dZO_JU+l$B_x9DC^Y8>h> zG*{*Y9ydhL-@zr_u^f0z{TAWMODjX?Y}DTJoJ(YGHq|#Ak3MYCYAkcOhMwcqGq2CJ z^v02lsJDJTUSAT!21Uq+Q$BQ)MHyyP+0I>N#a?Am#j2xv6`^Z zMpcR!*QvP#EB)5)^V8Cq+aGOS8x1y8YR;YqnofDTS$Y)&8=McmV)^J25l_sh`;aVQ z@oztSS98oD?3-%F@L#x8P0ow}?J8rIgn(s1NEJi;zYF8gAbnL6A&Le^Ka4ODV*f=_ z#YNHJHo2+C@Y#hKIV_t6QG!V7|8xl=4OQLeM98jgle6btv{TS2ES{fXQ8K;x7Smnx zDd_;8E#Vj2*U?$4Q+`oG6Ds`@k>CcUBce7MubwwrOi?ndg1myJeJy0CN@wpW+^Y$+ z`#NdsPFdb^r76XB_nG}9K`Khjx+L5kTk0r&vXhxGj4VWbU@nC8-~J6ciXI(M$q9d| z_tl)jPj&G8;MB5ZG>e-ukM0#uN}WyGX~m`ql?hgnxA9{huYiwHX`UTFosWL6F-~rN zQR2Lnb|7PMcCH~s=oDvmCh0&`G^@liIUxLf#AGg>R4-nyTp_cYFAi}@zO(B3<-R7R z9+O)w#w1dyxBfOawfwkz?`IvCy6G(iDvKSrqWBmVG}}FGzd4t%aMta?y1=g+Qa0(g zJk<*k86zpqM{ZkfHALM;#q$rUIfX?bM<(S5Y9W_8#4SMr$}c2u5nGx__3YuBzqm8X zEFHU*e60HHwo~g1;Xg^??@%|oovF+fd1C#{>;Ft6Sx~wo$us2CBXpRXUu~XgU+*}` zQ;8AfzHPkH{$rlFmCAJ3l9MB5?J?ku+VS2Y z9mg$cZAF@Ct!k=S_ znWC9Jg8JwAHDm2Np1H&Ke3asjg zFfT5+}-$nR$k7sGlf}r4}&T zs;lK(o>{?HxtqMi_D~$7%`itSm7r!Uv9sfYAmaW(+1H<@+|h>k)scQ0$8-Z!9os?D zD+=YvPaHc@NjJ(be{-m&4t>2jti3w)m3b_i?u9kUYKRyM?=~s*x8AWv{kFF)Tw;PG zP}72nf6A9o_%~Hk=62bo zrQfiQxqn^2C!5#vkmn3kqdVRR%Vv#b?O)TvKz^*NkbI9XOgy95>C?SHZ2kxl&39}} zY_6Q`{IKfYyRgL(8d0gzcVnT~{D?Lu`N*Qe=H+%f1R~9!Em^v=&IVvS_&JCj_Qi;; z$ld+2ch9m*&q{-rOcB*=ZTeu+w^F-D89-TbPD9U0witi%3@kvM1gZ zr!Cwjgn0AL*;3i%wFV!TTG*NcqQDUN@4g@y+!w?h9dKy|z#M=D080Q?0IUJn0I&t{ z7=RrBdjJjq90521cmlu~fC~Uu0B!)>0eArL1mFe08-NdhrvQ8b_yO<-5C9+$KoEdn z0M7t~06+o=1rP=x9Kdq`5db0qL;;8f5Cb3B#j^T#Et#bYTWY@pbuJ~^Yrbl}uc_qc5blN{f2Sq;)e>{xyN+IIKP!K*yIy)x& zikYI;u367xZ=C6!@}|PhozS;&*m(GlUfnW#{Nv8F$0nX#apy;OhC3f|QvO79C8fD` zi4pofXGPlaJf^zfxL;>fDy7jbnR!Fh=hj$Vld-wv=ogbX+dCO{W{=$KU(L4u$)^yk zTMAfbg!zvjHT9hzBs@ENL+M#hQlj>}{_omiWw^9JwO3b&j02m1%b-u@@9yJ~DA(z2 z=f+T(ZJTKl!GYh4t#rNhT`FqDj(j}NNQ_u{H0;BXHI+jZ`KPZxW3Vt^i;a1;AJy!& zA7fRk{=o>dHhZ&BzRhpVqn7uhfNI~V7e^Kjk%F!A8}z*47xYm|PPFGOFR#NMiXA^) z*O8c@*`!XZv$sBJ6p0^J1D44bPD4alb4&~NtxGva1(@bC9wqoYq)WVU?rs_lH)|LF z>RFFDfD|nMDQry6NT0u6AhhYhlK3Q`7WNqra%imay{+W&>w6$m{IjnK5sobj=Fe|p z+OZt;n9B&CcPyN=hO$H+@4^Dr(RRA1kq=mb8pcZt^KZ9x9(eGQ{;jcysRhQTxd+XvdSg_0G6b(C z4-;^0Zv}MjUQZYs)M7RsS+%x!WXaS12Z1puc_x)X{p4&ehmTkkvRL{Yok8RJ#qUB@ zyRg@pY>PdZsL*_O5G!}qb%gEuVNyqu%>Ce3Zzg!9lbK$<3pIF!l^YT_3ogbYpOLe9 zJ@gy7%*;z{c$caA{@c&BN77ZeZFh=oYSTYY@ZL@HAZBP(2~hcFIR&9C4NhY8#is^a z@QVv!a*RW_jba{-=!#x7MI=V4@ER#ITt*M2nVYgmw{dds_k#Our7%!c&AO z64;s2fO&Ygx`+=nB97ONR~JEd;F~5Q4C_Hn69M z??GSSr7{#dJRkzSfjtsH&LUChBLb#o1Sm=z5<$Sc%s>*LWav`~hz$YvUeWj!C{nb6 z6eN#;S9pMEt2D%cfFJM!lt=~=K)`-?ft2JU6dCL#3#Gz2LV&{~2dN_9vU>o{k%xE? zaFGba4(~pIO5tasK)gi(dJj8^19r9|WP^ZvBmkTBA#?&WN`XYhM-Vmw97P80U`2Z< zL*PR@;s4qZ)C`fs?^PiJ7*iDrxjLKd4AhmYLQ(KbX@I$Afv&SvgNESs`+zi1hx%YC zS%5_$0Yg^OdQ?uOc7`pFm`AsxFiXUnl`J`g)KI0uECJ`5-p%bo=!|d3Xx){+g=1AjlIFI_ylRnO+>=(K zkxuaFv21uO?zN93iax$4SW*}@Hr?%g%vSSvilP%&WaQ%_CT_JFeV_aLGgA7klyzdKP!o?Vk3dNN0n%3=PYq%C=r8wqlK8B}&Dp zeCS156$u$NFemn&xYzNIel}cF|C@+8M(^}mu5Cg?!8hE3Irx2@f*hL)9}BjyM(f_^ zXGXak%*d8K9_KZmqbjp(9EOt{y$nE0%GLsb@ z>z>9A4&}spEfs2F!ePRn+s=*M@(4;4jQsR2D<&?T%59HQ=;!d6%T1r44eQ&I(nQj9 zM4l^M4B>q@q+c-P9FQpCms8?gFVEn7uCnegmHjqfDgN$BqFN$W)=IE(>b%M(=}bS1 z*TY*ik($C5v>O0C{b=%bxQPDbz-(stpnHTvl1QU(7^4|vfOG_UF}XkHHL?p5;? zF{wI*85q40>OK$JpD=R0lph_yEH8;HJRqP-XXvQ>N!%jeA~(P*-})xj#{F*1@!&;3 zN_p7wOUk2%RUfliTIfHA>2X^Mhvn|3|Gai8FS}H6@IZUd zHT_Xcm1o#ID*4X`Cd?<^Z#`7m3gnQd?u;sVSkP8ms#s9cbx-kj-<@O9p#{sd^JS+- z*~4W%T7UnDLL7c$=dsr>VkD8Bgv&md*)oJ-h#@bN4+swL_y1W`@C!3Ah>yxqB*+gV zbtK_n5HHf=CY$ue{clWTZY#qy|z+b@JtLqY- zYy5Dz?0B;f#Y`7*^HeN%kuQ{40iW`jnnlhwqMq&;rwHpfe4zagYpy0Nz3XzX=h|-? zE(v+vdUw;Jts6*#8^w?Lj7vo8_3o(!=o5b}gOVYQ>30&=D^A>+Z6C^R4=xwg-qW2P z@x7dunWflf(Ld2}6x8+F+~PHf7U6O%GAV9Yd9c4x@jzug$sXsEw~-8j_sF>Yzeo1d z{J#;o3wKxmEI$xDytPs3tA~fZIL3=H?jMmu=t!g-^DSJ)ia?H=G(VjxZZejAR|P?+ zT0^#rQKx$S_naepAV${G%7^6+qLy6#XL}_-l!X)9;l^G`NdDF#E8hIjlfkZ+-%1o1 zn{{u!xizv{E8{jzKm2gnQ!3pgEYu9MSmpDf2p3n`q*IZq;_CqxRjmpk6Vg?jw9jJS zv~NzhKHra%H)Va~&aWL_^}OB|`|-&GUhY4-zRejY4*G|;Udb(m_VvedF`cVR#RlwQ zVSEF(Cd;8HU_2}E=)kgC0V`UA=FL6<>*j$oGzmjCfDCK~YaYTCiifvsft()<*1Qha zt7T3M)<%OAEngHdvSj4HscO|D|MDZV81?AOn?(lUqymxoX}DUdgo;1zFx+{#%Vkos zPY@UB+RY~4_x=>uM~<+A<~T0n>o?=Xtq~Y; zpQkfjEvbE4SIPeu!hHtA@TTnTUsl?T;0j#ZaSlr6f_41dB{^?QbY>H}Kic!6d5km) zd6UxOc1f;k5xXsh6>QA|x<=m~;(#@)L6dzw|LqhG5Iefl3lc-X9FCyvXNRD#1bm{ayCPucl6?xcxK%)+)qEif1l;fha7c>5%D?Cb86n^{XTY)yfM^l$fD2G>=>RsK znn3Vh6Y;Kq^EwD>LBNx45C=Nx*;PRs?jUtH1ad`S+!eptN7&)0P^kNgK|mJ35xhh3W~srfKK6TFOc2p4``5Zp?&xlAkpCQIwD}c zRDfoeKm|q!_H@uhf=r;)DgbnO#;Yrnhc^q*pDKWPb8v-KS3(!CZ4S`+xe5w{ zKj#80;5AeO@8p38pjCZU1?2*e2%3EzeqRH%!fl0sB=`d6<#HWV32zjEV&Bw54-l|# z3B*=L*8u(dW(-)hFt#VCr*1q`)+maX+$Xb0f`43vMvH4+W2!<(g`CZORYaQ-`B zXfc|YDg+JAy_u{xpOa8(@`tPEXH zBb-nV7$;poHuKOdnLSPKmpXT4B5?EDU5M+38r z5dp_EL2O9Dd;jag*2u)W8r`x)iu5Hn`-kWly~Ff}kjizv>Li;gbDnpNjSXh2$RFhr~)^7}trmJ5$yyUHDB?@po`S zP7uFJ5946DX6Od&_8tt0P|;eG1|T-8g+JgJUQFIb_(c_fE@DyxuW1EdnJ_H33LPRe1!!<;;?b~Zfi@?5ElIcmJ%b&?cs}?%60+fmF%O(wb{2?jgwUgna z^vuK5=o>%3Snb@umK6Wx8i5`Bpcw+6l8FAVQ_1>QqeFm9vDeGGU)@CF6oaFgL;02y zBKF23EzfKDa~N3kI>ExXSs!|AG7aoHq^G5?D_>mXUiO}o`PHGOMC%rM3gX>^E|;1a zn4qrB^@9!46n9ITsnbJ5y?a^j@xkBoU$b4~u5+iGe1c_B8AwB>TC#*s8F)IG)Pq@T zUv{_rnJpN}r|uYbN>SfMvGXl@*}t-7IiYP)M;?5KHX8{xVzP@me7d zb=4(4G7TTeBbdUb+E@RyFTrhVwI(iUp_0Sahq+Sv*0$6WB+=vRXXmSVNQ9E;FV6pl zPRBNwa;A0=F>F5s{elbH{@=un9R?G(z8%a!GD9%)Ye%4Zc%%c6uNJ|o4Ua+};loZK zeC1yu(}wB@IX6s=yD@Z}Kak9*4H zcxS=m=u$aT-6m5_l`$ZP-SnJJdg9tg_a7>qlcGlR*6VCHTv#Sl1ezZ5 z;WD)OXpcPF(9^uYmC2s`UUgXn-GAv3>c0AA*W3(#hs5X)S(b;jA&3GV?*dCq#27@4 zfcLr~Hgv~l=new@+5?*SbptG9R1;vsYyAj10Ibl>@MJH*{_Tk;U%;N2+y@qQ%Bic( zf2JQScHe?f#PH*3=rjC%00?U8gD!Ob3KqNDp8)yKm$sRKhJdXFf)rrUtO9G^<5{Q= zLs0B$J!gY!z~8>WwsTPNRm#6r9herRXz6bdAp#Z{0=06V2TQo_Fu=ePNd_A%0LM0P z1dteuP$+CY3W>n)7NH*4Yz(9oFM$mu^E1GFm!W=maU9}=zg`vUy8`vXD-)o~Pu4&T zq5BIE2~7rNE3Jbk{BshJVAmppAAG;^j;Dbf(Ul!}a|6nN?|lU%=$u)YX9i+N&u(7T zjc*pHCj9|g&N~M(-;xC3i<>{e4v6;+VlM-G0@(KEAvW~-HiU(M?<@eBe-8fb9pK>4 zEr8A--i6NK=ZioF^e+Yi4p{;+M!%sYSZf(1TK<8^f&H@rB>(k)=|1Rx;_radc5wCd zmH~QYz-__x8z3X`F)(@>H$mFYF$BZkw*Us*w2iA64?8>;1p1)=1k^U;Ct!pYf|ie- zLZdML9>k9BJ%bn!@ZH~l^RFn{3s98!ACT|D4GanSOX%B`8385`1BxU~548?2X@F;E zg+LvH@X$Xi4p>JQa9tG$4;5jeD&UL*pvxNzwE|BbUX^#%)A87-UU>Zor~$Dg5;z(M zkp0Ji1R)txI06?H4@dn4!uRk{nQ-a}zz*x9P}A3c z!2{>Hj+%lc5P0kl4$Kz)USF#!iy3?#7s4O9oLj&&tSiE4ulumN`7 z3u>-Ug^Gf8aQ>?nDNII<@_;pP0k@1ARRWXZ0fu=LL|PVh6NP|B0EsT6LE(b14L;xi zloVc}MIFNm1c0=pL|uo;=}JE#J9fEbX@6yTx5jHm&)nFIyq>JzZ~r8A)>;T}?u`2{N|s)!kI z56A$S!h#xyG0Fd9%)$y3AP0&KblQU(Kvg_DsvH)l1l%8_pu&zEs8jeh6<~n*O9tog zf`ZC9QR(n36%cvMg-U@#sR5UP8}%Aiy9v_vxKR;TtM30b(JOdREpRpMRcX9HWcC&i zw&FvL!5ws0X{?|Odw$d>SeOBj|3<5W0BRK0ybVb6yI>f^-vK%PO{jZZt|t>dOH#NE`JMHjxJ+pPqxRdZYuM zW$pt&%IKojK|uN6^8YVJX`+W30SW(Be=U8~D8RwG&jw390{ILKP^oaY0wBR|+yNge z0_>lYierSDg%^|nIlTe8fXWy(j3FolTeqQvuB_z$^(S>{2F`lAhE%QBX;Crd+mC7A zmo|;v$9b*T{hrRXw^xgMMn~?ofvH}^Zd3%>?@(F!zMzFH+|>>tk0jCGS~G={20vnM zm^<>$)Kv;qOGwzdPWim9+s+o4GR}EEX}OKcxGipcH2>`A@1bAYhc@PXBoi}dm@L_w zKWnQ~y1IF)D#~B$nXK1`Rk2%sEJT;7qayN(JIUo<=2E6BfytUWH%M)f=oYuIY={|2!oW_xLleUAN4!YBDwFfSzE9 zuwpK&E)_+ewl_Av4l-XvyI+s1@%hXHJHm!H9AWBKW$W6S+9NuuZnLb1~i zwoB#<*Hgahv3z0TxK5Xs#<1tLy51*=+9#xZL;ZkqnC+WzD&Gj&KVMT<9O z-n*OE`8t%YTUMn%T}e-8ZS3jPRyeTQ3#1|ex^8nkI_~>i5btz`ximo1xVMTuZD{R!6N=H z`{yg?)Qendc6kGvHzk98F-pnuKGjg_8z(?IOEq;gZD@_3o_yd-%P z747Q{v&7{Y4vG{n_lWDOkM2;#M~Keq9MzaLzCacHr9V^GW<@-Jq8VP1p2j zyIF?chVLpx2ER*1721}5!CLXZeP8O%%Mc2~I3rXgl~H%-!kxQeUCXsDYb*6Be-A>B z9U^5${PF$b+9*Ttkxy8O?FC-O(;1ZIj9NW44JIdF@f6MN(YLAjV%wTG;-*zI^(q}R z&_WF2ZI$~dt&-1AzWj22`JQlUcvtDQ_;DhG$4@h!C0`S;5SfS*jWahl(874%OK?xd zQ*e%0g4SiRcFTY7gT~$iuY~-2pR}ml$lqdiO^6h{+~m|*SGbL2*q~5r?($P?Wt>D} zYdO$2;JmOZTT}K8*cw7nxe8p&tz1Gniz{ zIiz$sA_`ke6+g5el5;xqd#ZKhJW!$_!HY1M;Jd2zEg(l``qoTSmK}SS-;s{Y*fgnLJe{6 zkp*Dg(#WN3gMD9l5s_UGZ}u3qghpxp#@Q#X@ckfJ_u*64ifA2yr3GoW67phsu%-qX z9EwVn4O7RZT18^%YAxe_TytX^-=*DGCw$brz&Ku0P=G$#ZD-YV3Ee|9YJ4QUP*@_C+z)3s)W)_y+2 zQjVRr^1CMmxeU1I{<7{rf7@bcn&UUferB9;RaQSK8^1snQaCv?5Ukc$HV0Km26}F5 z*5}jbM|v3ynHb^8zjhxT7m1;cm1g{?{~i4A_-`7s4SS=p=)9&co%k9HA7QtL5zL*M z@ospg4!e2}#Lp$IZ&AIUrM-WEWBaUN{iBG-1NI1?Q`av|`420o9+ba{mh_VPaGuXX zYB5gPV?1%Vh19vw-XD075V@he6cyCq<`mHB7SovYYw|6f-`}YG>y1CcXOfo%zqXEj z_G59dS~VL?y7;s0&!DTi%Zb;z2#dbkwbAgf<6<%+%VlTM?Zj*>|GLP}Zc!>Q>*dqc zl+)ee&)60U|JLk%mMx)}_ndHjQO7qylUu!2- zo`13SoWbi>RjR(A8h)ogf*~y} z;%a0>8QCF!@jX`&{~ML}b8o`eqPXfXg;dNot;&5dx*tNw7Rc$+5=XA}e{E@CVimQO zF_OjJIw2vQ!ueKSS*2lF%)|NUQdWp7BcfoC&s`vh!tWc9 zkM~-t{+Ns`=Jz#>A}l}3g2QiFJM%KmR$KB`QcPw@1`7_a5xjb;M!l2$h;Ib($~(1_ zH)ed+$=`GqPWQ6MyPjZXy}cGVE+(PYwYMG{mZiS)4s$dPq9oceP9Ku7&E&Kz)i%=9 zoytkF&`~iLx-ptx#dp_Phs`BFE4?%dp0;GB(d3v>&n=c;}&WM++4%#+Y~g zC2wq;**9A0G7*Wm*xXs_d-u>?x9Ahx&$in5?7hFia@wdH@NYHnY8o8wB}LPipup>h z&*~^P^doZ=@U4JT3SQ^v1CyJ`5?Jc6CgAK_qQGl&DJ@_){Vur%MF=SF2}%n%@*rq|MmeKY5b)L& zdg+2PMf`J0(V=cA9Z(4)6mS8q=s|KQ;AYgrKP%3cp7h!c9z+VnV*&|^2#~=~d>3eXT9nb_0Fzmx(4uG8>iqZ!$ z9~Y2#D;)I(HgN;kemJTg{_GC=VE8#|9$xSOY5!vARGOeicOp=Q@P#Kz1n!Ll{{6fc z;Qn*0OQV2~c-;q(1<|M=_~R7@A`l?1u8kssEn`rDFo*Azsu;nk3 z2@*WPNfemm2k1*{6sqSx2huGB^uK8$a83@wK>o)`s6%)-9Q4M&$O9@F1PMx?1IEAC zAn_@nU#cPi`R|BFLn>+&z7+$6+tN_M@N^955#ULa!mat>0V2~;&G29>NHbIiMS8%f zcNoI|Vp*(cpbZ0YMFD??9d@Ar;?WtXe(-qj4uLxUdkqwoiE4*m#{oVFj?KdI@jw%B zi{{{aFHjsQ!viGnP#Ovkj>|#i!aFa3m}V}(h#`R8$V0t=xltekaQjH$$vjjY%$f)o z)%mCfSUTxS_$vPPvH*Ba#>qeqc$6eCst`2->!)0C9YM#Z76DH_Bo&avKLF`fjOxDn z2SxDS?O!mC3ic@hLsS@+^8^VX_C|KqZY3Bh1>;=cYMipeqr#wN3}s*#!!1Yk z!&2!0J1j?S!`hiBHZ(Z%qm6(cW&t#`5_K2E!m>e$z^R^s)pG!5aTio{?KOxKaOMIT za9#$sc|cGxHAqX>kfC>nwSP$e zda6IAVUT+%%DgHrw%U15`-X|De)@@HJQI`r9Vv{P5Y^W^Y{}rjn7#Va(nR;Gl_}%suP3vGRY2bo)5pIyA4-E zF+!V9qr_4g9_jA%#b{1uRBh!q2BvT#y$|Z`sNz}0h7&2XtTgz2T`b)4E?Jk} zShX6B67nl#B0o2@;KzDfTverTO%f?)Qni5l?2*B>F$BIk3xAR^%c3Rr;x$QA9bw_Oa>RE@oSK*G{o`ewPfN8qPT)l+_pRU9pCNiR%myQ# z#GH{7lFtR7MsVUwuxYVU4b<*GZZ;eJ+^%Z&=~tbs=(8<8hE{Hhqa|LFD3$1aWM1$8 zDeSF+@(h-)Q3&qt?hyRNgL`ldF2PBV;0_P&?(Q1g-QC^Y-GcjJfBUOC|9@`I#Y|Vv z>YB@`nV#;oK3UuPg(>}smPpcDsvU^ReC`rfE~aKn|7bD^F?%e#9iXiWTrH5k22n}v zVJCrAx|UHic)7qXZecXpHZ?+-c_{7rAU7FU!F{WN5HX-H3zBPKwA_e24{Yy}p6#Z0 za+~}f4-!n;eyZltAy7?z3}&P!#ad!7kU4RD;s$u9i)CwY%%A4i5BzTg930n!FghmP zR1&n@t%_Kd4>%(u-;a=*xI?RZC8DIo=B+LcvlRV20A{|-sBov?N3{MxlTl|KNVA)e zmW$nmUAGSNSi7g{)AkH?A0mPFZ(`mYtqT|7q`Xqn+2hpbrqzFyl!n_P4B#nLe$z%W zjw#YJxnYf|T#Ww#0vQC{5sN+^V`#Er_CH*FErG7y}kDISEM_hcb{z-wo8RdSHb?*~6A3IpPlwF5^WV~7ZUs^%K z2CH2pvPLZ#NjXJ_5J!oM#H!XdbD5YIg7@!o;fYo1`~QOSJ2h2>o@rtsX5){p?fNFN zb<+!zTezPAk0|YR?n~>gev;hwzLI=NcE8wppeT%mTz3f&YeVrI$amqchsu!f)tutM=4e;3=Rsm*gb-g`kM zbz|5st;B1@fVFDOb03j`=$Yuru7wc&yYEr#DtJbLjQ#p9CwBLh6+1qpI%8pYv@IUE^$q*O ztuwUuSo8|+O2UhBz6G1hG08Y3pPN>ermzcH0`0E^2!mu5*OT$dxCZav10;k!#5T6x zhobllcC@K4C)=*rK80URWh=|=*o=XU8D7tyfvp3J&RzSwaam0I`~NZm9}Zrjv!gJX zl61b)+i!mI7b~JpC+7#~YXGMX`&0pN za`H`LRhouG>36-QwM3v^rGk2K>g;^#%4X+OKVp*j%(YxWtaw;6eF9|2tpCC};|&#=ih11G0f=i4Mo zArJJdA(|_P0SRP@InK4#``*R4!KBtN-V4|jQSLpx@!(_BwbgOISe%4u>VyR&#a$g^ zu`OaPD~MLHE}tyN^hMUVF~KOZBpX zKm>O~-v+w>Jv5NW=VHMlGeXlPtXIf0MB;`{^yk9<4#fu}u(>-2i4R-Q>!5XnQ7CyJ zCF;robIo{h^sr*`wFO=~xN!b8M%;`rRVx5N;6bZ$<5J=%_=k=kZodOEy5Z~cFP;yt${SoYP z5O!+3Qh=_o&xODSGbDgp+WW3F7HS?+yVBrCJ^1?VTEVfmD&f~@W`Dg5s_PW($A4MA zisHqJh9tNG%pAV`hAgV-k!ETe?HYs~F61PGlK+%29W+WI%nnN{Z(lb6frZYwbGGsO z_hP?1+6Nnal9U8)KPUr!Ka7U3bR_OAr*!s!jJjmG^8HuI>%=O$si<(yJehBhgj}GV zd)e_8etIgvxjcwDF|_|toKUXY@HDAKYF{KMA_-FK?5>wzEkeZ>PHj2^xD*)Zi`ZeO z!L(O`2u47pKpgxWtL9`a?a5xS|GH52`ehs+qam^ANETK8LAkh z2x@HG_KON6TozeAx=(0mF_yZFMTwz$sfL9``6;=DwsyPUVrDJI>g+7Z%b&?H*U9F? zHP?+p&yB+l=kGnsDWp;}jCOB@KP<=(pacD%c^707nvRxduXS*&zje3E+EsGfhI^-- zyuu4dvjO~1-?OD6T~>S1%&xbo?u>g{C|8;p{s$Ww7rrl<< z4Ek{05%=;M?JJEn{_9dgsYyt#bQwz}HTO!c)Fwq1kyP>!>IvagGC$IY%`j!oenTZi zcZ2oyDRlX!FV*Fa$+nn5yihu>KhzI%`1iG&R4gESmd&?`_6197M73U)3R$UdM0J=? zg-d@%p=$2fN<`#)#-12?3F*8Te$0eQrce`lW}k6SShC1#K(e$824Z!phG?4%B$`DC zsv&>1&(e2)G_*c7!@n59=o7C!(ldKb|JZ0kglLP=`JDB(J%&v(Wm;`=ZDiSH98&%j zwUhuw7=}7{@|P0lLfZ4@26^Q2v?`xW`w=)m?8bDt{kehihr5M z=zC|QwJEj0X>k>2jrKfWv}|pa(Bc*6m$QxDAvN4JulS>ql8}}e_Hd46ZaR=$f{P$3 zzAzvRgt4&lQuLbpdwlJrt&A-RoX#QJbA1I)oy5RW!OR08>1-&`RW8<|2SD}vy)Fx+yJHZ}bi&@a^@Wzzzuc}j!K?$O zEOf~ai%)n)-mzh+2C&c!b2lKRFUC(SpysL#e`+kEbD_Aq2y3=;Pz=hPN7py+4q+n& z;-z7QEYkI4Z+fwAhc?Bmzw6Nj^F$ipFRmM>{+)bBbslTZ=Q{}sKjTF(%yfEHs)9aZ zp|ss@=+jnD&+c3^&}0YaM({&1Y`_WsuBTECw)aF zG8)lmQ5$i|q$;=#CqJMe_6X?wCZcOQznm=L8qzW{I!Q|qm? z-y7aDO5kR@UitK;IjCB6I`r`(ky3h?N|v;CS!Btfac1MaPuE*+%qZX+Q zYmCe2v4veK*CUo~xSWar59+!zy!;#sKe^C0|AX74(#7O=vAaCy=izPJ`k!rI*7GdV zvI}^iYL@g5VQZC1#pfaG&mJwy@-0$xn#GY)&MG^4WI2kJQ*#EUb;_Yi7#d(0vF)~y zrAeBd#JU2=*^o$t)o-VhDU^aZ!8rbud%Rr?gfBD%26AGbIGCCM&F%YN;=ee0u}oyi zVOnv%>UL^1vj|t?HK@n{YPu%Y!kcjR&bG2?XOxNoMl2)9eL*30s8~2Ko*c4WbZ!-$ zb}xy=6mN)#=`B7BiPyPU7FNYCUgZ&dt|TR{%Z0X;)9#Kdp4mC^K^%#k_8I5Iroujl zV}s=HDX()umvd);{GOI>1{9PmHtyZii;8Fu#v%k(-4$YLNSgqjSJy%pU6q;g#cqWb z!l0I-Y!-#+rX%>yz-G>V?&RnVxh4Zv|8m|~+7kpn67S2_@X}2P%0I(Dq94~SZePXx z57b+h#4Q|6-WVRfV_v;#yG=GQ&iq`0oIznf$G31o(eb4Qn%)icCqv#todnGO{1w-0 zj}inw?!>{F4c(}VUVn&j)#xf0J+5m;#P19fgUpO5dTtA(F<_=l4k*kyE<&5uuq+-k zuI;iBs+99M&5-4>^gBPuuV@n3C3R3KRBM4Bf9xnhn4+W|D3jW+B1+UynmXhvqaBs@ zazzkd`}U0%*fN35wXi=g!SQzUcpH(!Zh3IZugk1*OgqWWChY{fS4v$uYw^2Fkdr&c zri+6!c(&q=!>59A2IH_dnObG&;~ZjicW5qwLq*UOUu}tNG?Sndf~?2qv67gL!Lj4_ z3PW6+e8M ze=(dvl$cJwBkt?*RDS$EbRWX_(gTsJ@5zyU$$*g2upvV|LgYCd&Rq1t9(l^-JA@KzsEftfZEN<-+EOd}YNj+N0eL<0M)srMcP0y1aRZG3!z?5Er5BG;SDx) z(Z|ONAIHVzkRH%$aG>d6lj7g>Tk$Be=(jD zNKPhuq>ear&(5rV2`;!mGxe~F8|6|pnA3{^!tnxpJb}t1xg3$;92cRz=R=DEx0t0a_FWOt~~&8=~QTn{DB*bBuz{+?4we|JOVzLk(rWmZSDP#mbdcN2o6)BlwU)u_b_~RG~8CIX45Xy zU(H-jB-5p^aaf~pmnOh#A7mw;&Msix?DHX4jm?Seq~RW}79?DQ;Amolima|UPxzOh zW7~7_^M0MR-^CuWqR};~Z}6NuWLk@771?eftp3-!V2uMw=O?3dMVUFOp;W@q#kYU4 z1#9D0d(o?61M|zB-Bw??Ohy23TEDol|Gj7aZ+Qzl59|M#^E5L4X<+cv*mw`&78&uz zcE;%*DUzKXEM#f#4dLl-`_OWMWCl^t7#A1r=w|kADDX&5s{WNlBCE znG}-`p8F3&mEkCzAOPiQgQg|d#a2(x)>dz0X9tTOn^}(xhTV9?m!#tcue0=n*6f|^ z$ur^TGWl})ZkE?Qt(A&^APs-7RojiXh{Gf5iHQE1;5$3JgOgL^cTPaoD)lQ@b8DmX z^X=vIW*$`s&4>KOdFmWzwYJ^!9^LF-t9$DUFSWznJLkJKZu4d9gY%ydI1ID#WR~ip z#?DT6^uf9Vx;wk^_49KiBtnn=Fkpq*_=S-_LN_w3-$MZ9kdnB9nD}B`C#{)l@?aa> zaN`n194sWSYvw=Qg-HQdQ7B(P9RKs^NXlA@LIdQ?F@$k5q&Q8>S%0(c)mTeZN6*As zu8Kwk7nfjb&HAm7()L-^aK=!#TAE@QDWNp{s;M;(hdJ%{M|Hc+7NPRp5)a+#Z-qhG zk)wsJ1>wX&*YSH??zUfEdZOA8dZW4(6&9IQ_Dkkghb<1~XM$32yexcA=d&D>h`=~~ z?*ZE&&z@f|%|>8dDw1xv0&~4Fy}FQwID~9|-a$53dtwd;>p{#2E$ON=+<66ahSpNe z5f89#rmS!JtabcIfek8&`61Di5t2X3>w1+~c)|zBV}_O3nWWGh1WJ!m^3z3G@rn}4 z!ds2ubbrL*K_w|($>JNGJ8{6>mwvj>rVadnS9)Y3d-0j zPm$+o(H}nzDBEC`U1$uA0DY&^#AS4S^wGcbol1gOoilwH?>r@35Uqt$f4&V)JS77{ zrDI2kXdObbj4Y=E`L7~tAb|flCj006`M%SM?Gv3QjmK!sN;{Unn|a&yrB~S8MVP(X z)$$TG1PPA7X(fIAkAL1*OYC=DHEHl=(h+H^{(sOdj23-6pj!4V(y*~F(PtSd#gel^ zR2ZrU1V6chD+nTzc}_+cGt{YXO$|I2B+A@vV=t=AerHbg$l1r zl9#GE%Q6!rHxZ3!HnwLOkB6ubxg`IuTM9ZWy^&p$CJVPufr&*A0p#hbE+8xu`w>hn z!ZsMP?~Y9_#DsT9bGgq}MAsBjren-x$0Vzi>4#u3BStw#;~EpdVKbn)v=!2J+b|%H zNw_gK^Iar7M%@T?L>yWVIEY>LTT4`;8&y@4Fi0yXRU8yk8p0VM&!;8dVc4nB6EhVD zapn)h;Dj1`j**j+O`8pU6VAld{051xsU4FSENtrJ3J)hEVj4;rYin!*o?FFC6kBgc zBW3{a8%w7g?<|HIhlnVZOeY!qec`LwKt?zRY>$J%Jc8#Q=^$w?P@sd^HH!Lk6gtdZ zBze5#3jUw~Ca)6WJiNo$Uk{o_tcC?qGlX_ObFe*}_+RHfIcv(Q9qI`85Fz2PjLg9n zWqz%VDW2g$GMR#T=21wU@iw3FZieD9lK+|CcXc(mZ#ady-vz>2Tp5H}MAC-zw5HJi zkh#A zGsiYzNfe^hG88aOn)$iC(JPBsp=ZiYW`tcAYcA%^Xi7`e4d6!5rqO2BA}2Cx?HiA~ zJdf(%lccwQ;{|T-_nxH7=43Z7NwV6T<6j0rDsN2BS_G6LbV$|o>>ZDWAFVgFhf!)t z3J;yUd>cSpOUoMY_`J7&BK*c(OoEc-dEHOaAa^(FMxUe6>;@sDs*P5vq6IgqqJ=sR zL-z9A_pR?FmIPbRsjbFl zz^$*~0&$SNb>1gxWPchW@c{^Yz?YdzU7xGMBy-*k#|nOQj@*y?tj zHQUJS<>txp_QK{mN>KB@nFZ(#^6%o^1U4wB`~xX&#K%9lx`u2lHuqX(ppk}CESDEp`RY`-jW82uM@t< zef3#T+hI`eu>?~Jz|{nUMK5IttcRIMdadQTgtDS(HCxOItdcx+=Dyfp9iWJ1y)A#H ztGUb*`@Qa-cTdYG>fQl#{3%p8){j}M%^j7TDk;<-43{>Xwa^quJ?1FUOTss@K=}DP zyYq`vX^ zd8N&N-ufnY>2RDpZHpXD9v9IseqvrMR5q7>XNe_F=PBqf&Q12nLraRc!WB%%SHX21 zLk_Im8hK<@LVH%cnsr1E)OLcT)dl`1ekmo{%auzGlg+v!A@>XZzk8>E`Lhz;(OiPW zLAy@S*QnL(F{?AExL3XRC&%eY;^3cu`9TdoO*!|?IOk8O)f?tkB@wS{HMY$-vE~Yq z6@5tVvDT_8g?c-_puE8URw-UCl`|M?>eEYSRFzP{UBTuvRm)lMet}8D2LGc|(v@8O zSqsXw_B!~8bF)He>$%?poNox&aZ$Od4CW}R@&~q4KI%dbNn|ECkG7XnWV3oW)tN-0>+VQZv)I_BStQDTD6kLAvd^}M#9XYW>R|*e5P9%ShVsNOlbw`$+aD2t(kyH6OyiKAawj6>uwrXB^GiB%WihUi}J^48Q;CBAi zh{<2Eq!OoRV5h(Ya@EC|O_zKeq~$k@Skk}~b}8D`kY%6bMX}Cko!J`~)n}NMj=Go9#AckAT(z)R8Z?D&4paB#6aPs` zWkT=I4$9>G*UywuN;`$<=bobs2O_~nD zav-spRKqtilxwG{qpJqb_Cc3|8bkg zwvO7TlE6Vb@C%}=Tt3AlyG=&HHuu;7sU+QRzq}wHik{HcKKI$~sJpRo-9St_JpVLE zNr%6h`1&hsT)p;8xb%Y|HEwU$)Ixz;8i(;cP?DQvX=P__@SYCn60B0 ze6z*~2T8m81Skgjq8KbmY1RcAQAm>ynuMSy%}eDljExgZDOtx{>hdeoCzKgbuE@@_ zN11=rGfS*$+joN-EpcAXcK`l@8F~T_8K5>t(by|TI-<}m^(W9aUhNtw-<5d0Bf%*U zql7u|K4qWN-6cP;?*PRMA(KowX=!4@WX?2u)b%mJ9Qd|omkI;>pDB7N_W*o{BK-h7 zoWjHFk!&1d%ZMGNONwqBf^TE8chH;|tTXoPihdZWGuHeup$|fhP6x6~xk*DFV_@3| zlc{HrR>wa|sR@=O&jw9^I!dcZr3tn(*9Of?e4G3=v`2hNIkUTn&riX%iv|QC5QBFW zGUg2^7(;uIvu$CdfM*RMK8l%sVk8&>O4EL6igWSlzO-_E76~U6k5X)njE}?C{qn?* z-OT;+hz#~cqh*!M6XY#BzeUgovHn!B!d2MT-(y+RM2QM>oWZ~Q*!LXkG?i%Z*q<=U zP?$DvwHJF}ToG=U>M&fm&U!2Vd5yFm(g2vsM;vL%x*SnNQz1iWKDA~0*c3PEcm`5- ztnb)me21873UNov7DE-d&Q!-j<#^5l3M$+!35P-}BpDW5PK{>8vnm{|U24+Pt-VOj zsWT|j^Q~uMmV~VHck)YCragag9TO5swLUfNLZtUtH(|n5*H18eevY^Awq+Q{fHNWe zE^VaaAa~!oN6J_M_rh@K*U0*#G&c|O{%W-WCBo6JFAbM;(K{L;Kk~WN+OX*&P12cX za06AEb1sEHmwn7Xmu>LrA_E#ep&Vh`vMnoR!Z3`*P@{bwy2yk>_R{Xy9gQf_Q+(cl z20cIV%{5k@05o2@@FgjOK0qFx!busoI<6-;15@HGAC*_FC_1p7RxO%aIuwgCQkn3@ zKVJj9=mv{^$X>Y&>{PkT+qh~GF~GDR9s@wO7r%=DE*Hz)c+vdf66t$ZPly4 z>{J*+_38G*2e*b|p*myHlU^Jsl~VrKA6a&5F#^`a#{y;&Wx<_P&I+udh4&5cSwae? zo0G?uIA)d*&np7{)r7H+a%8toY07bPhLMUtBw~p;N%2?ZYa!CfnAOr>4D&Em4Er4B z75j8Fk-+FhMU!ha5iuTvz7&^J`JPrU8K@+H-HjG(+QB9jP|<~MZts}eE0peGlu%!p zZ~>v!Z#x#(jr>K({nh9ok!FJE*LY_cdeRU&+4-2Yh&1#B!ZxWTa014l@y6@A8Xc}Z z>DHmYeE7^#m;skbm3ARN^mc{G3hGYWx8uI5ZIb8pfA6t2)(Gg27?mxt_OWLi7onZ0 z1IrPg{UXp+8SchyAcsJiUR4MM=8}pHs{w55i6`!}7!d@zV`(ZXR+8skaZ0nSl@7to z5L4kca}^+a-rzWdTq!@uD*5jL9mG@gzRzUZiaibNt+g34VWQqQSU#dDO|E~f5o=fx_nh7@Ncdm09+%sKBj4sg}^z=C0VMHr9YYm>osF%g&x4<|! ze`DFq-;`c;^Y42;vGmrFhV7z8lP^jiOW}W#0|-I2PdG2XVJox3wlm|lolSQUsa|XY zW8b(f2E5Wac9)xRk$21Rf~pxuQ{%cpJ-IqL0o@kTA02G^X=ZJI$q%)6rJ5LTU5Uwj zO9LO_8^ujZJL0+7PKEJ*y=InzpuORCbzp;Rnf!aXae7j<(f?{U9Gb43PJ!eJ-Py{T z0jeC;LwDg zT|V-~KrEJh_E3)oB{)tr>qa)~hMRZTH9cdGZ$jRV*o=l}l3XHFJe)5K*A<)fUofs7 zcy(C@7scWIGezn{Iu^XRa?31sXjz>!AlIl~oRpcfmN=!BkX=r?XWdxIuXnHawA7?S zV)~-ZCD2o_sMd}LW88*^zc*ft{gi1)D3fW?g6+clmvf^fN?W_0>&(b{T}x*DBcN%U z&Tm#unrpLM=kgU%!(do8p5;foVHpqP0Bqx%U>f?H@x;*gS%|?X2aob;S=XRB;2Zu6 z!j!+lkH0z%5=~aoW6pV_nlTpYwRd_^Ppn>~DYwhA3<<=mTBb(wc3@g5%3*2yhzQHX zkYDw?leI{DXcp>^#O0zL%E5EZJ_Jvl{5GjC1l=BXsXDfh&jlZ!_6R#cZM487B=sUN z<42M+1^^m>JuUX^dFAUd#@jTo3+^Q(I@|8{cf$3-@*}H=nF;8i1#rYSpAYu_z22T2 zXR?arWKwXF>YB$3J%Z+@&wSsCScAoGc~)8ht>%!

CB*#Dt zUi5v>wU80n5u>^!2&e3r2*&aZ?YL&`C@YstEr*#_=G6IQB<~5NsGSI;PYuv77+347 zKl2L03TdrIzp%b!vRry;Cv96Yq%x>NpOLp9*L-6Nk8XZRjc)It=G}S$789uEx1g z%IQRjE0{8~vO3!Nc$tCp-$d?}omC%Od*|wcxq$z zHD%=|rDZKouIeOUj2S?*xv&)d%r=XDWLq*)D+EDeqd(;<7~gnPm6v3heNdC}prt`C zPUy>N9we{M_OyQI`-#3nUxG*HIK}|VIh>rmFBumN?tw5cRg8k^Qo9Y&9&svQBmXFp zlE1p+m(d?YgM!l0^6{{vzw4NjAB9gGyN*q48Hb2IU=cff8k?fhDGS0M47wZ3NMREN zeLUY!zx4tlC)$v4f{6`%Rx9Kq%f?^!>d4~oPG~_X)9s3sX+I6AV^RuVcTa)Wt40XC zhv^T?Y7$)#@Ap0j*W|Q%F>LdA^#QE>9=$D2woT}lE{!-(4ek!?AI)K;w1SJbiX zBz>>9PomrUvzXSefi69*IUG1Tf`R3v3K4{R7N8HTl@Eg z4V0946~!dS$!*ADY{1TDWX!?NV`|95&IK|s;$~;zG3Ef78nCbm@csWr=rXJPax;PF zVEg2fypEy*IC%cAMm^Y>Q-@7R7vrDek`gpA#38RR#-?E?SKajl0%)t}&1PD< zDW6W~fy<8AA&P16eWVtAkhOjkahjc%}4>2F{zO-=paxgB@C zir~Ek)|x@wxm&QR=AdxRf#7vE0VJUPyQf@<#T91lA~#FfZy~=vzB!Lq!kNjx)hnp= zsgJ+t=ZI%hQfbvC*c$MKI2i&(Z{eX#?sTeUM0WpG)aQ!D$j<+PFBLkU(u({Ada_=7O>bTDfdePT6-f zKAC>5^8sx#4;%&Eu!Q|dxI=zd4+o(L+B0^v`RG3tY%0DSL;)u(1de19463y`qUd7@ z@b-D`-!r{fnBC&{L<58K5IhkwqIq_7ps}xlpy-8gZn^0EBPlD6qLr881pLLP9s8|V z7#cJIUD1_bPBmq6K?#`!?*fLYcD!42SQ)MWlY#CUk5#)M1J}6{Rc<8uoY_z_G>sJJ z(jGQFzI%}bDWHDjmf%(*;`^Omm4t#uoI>Rf`~|0?<0|CJ@-SkmyMvzR8w0=2pQ0N@ zXn89xc$U6iG6rhpkG+|6jT131>^CLSIFg!Li%2LBm=qAz6Iyznjlu< zk2(_seFYM)moQAeoTyUgLJZ}H*x1o<2gIa|SY{3U$=bkq=YyLNQjbZY*kX{dvOio97>xY8_IGLSdIiDnDm<8k_XqZ5W8bN2!>CA6X@g-_2Ekzyb6NFPIgjVT^+fm9zYRWdt4*9nXfivLx{Opi@2Db^Y3~j_q_rrv^l|H^J~LZPRsL zfd_q4JL=O@{&)I4h|+h8)@RB4uCm4F@*lpxXjU|bY1EF)HVzR^uj%bC3GPXsCccg` ziYwTCDoBsOj~ZY~f!6_vPx1pNO4>ERys diff --git a/compte_rendu.tex b/compte_rendu.tex index e1f6185..c889089 100644 --- a/compte_rendu.tex +++ b/compte_rendu.tex @@ -16,11 +16,11 @@ \maketitle \tableofcontents \clearpage -\listoffigures -\newpage \initPage{TL - SLEA}{\today}{\bsc{Simon}, \bsc{Levy--Falk}} \part{Objectifs de ce TL} + +\clearpage \part{Étude préliminaire} Afin de prendre en main le logiciel de simulation Quartus, on simule un décodeur BCD vers 7 segments. @@ -130,8 +130,8 @@ Afin de détecter l'appui sur un bouton poussoir, on réalise un traitement de l \nextwave{BPS} \bit{0}{1} \bit{1}{3} \bit{0}{2} \nextwave{SBPMoore} \bit{0}{2} \bit{1}{1} \bit{0}{3} \end{wave} - \label{fig:chronoMooreTheo} \caption{Chronogramme recherché} + \label{fig:chronoMooreTheo} \end{figure} On commence par résumer à l'aide d'un chronogramme le comportement recherché pour le système, représenté à la figure \ref{fig:chronoMooreTheo}. @@ -156,8 +156,8 @@ On souhaite réaliser une machine de \bsc{Moore}, c'est à dire $S = g(E_p)$ et \draw[->] (0.5,-3.5) -- (0.5,-3.25); \end{tikzpicture} - \label{fig:diagrammeMoore} \caption{Schéma général d'un système séquentiel synchrone} + \label{fig:diagrammeMoore} \end{minipage} \begin{minipage}{0.45\linewidth} @@ -177,8 +177,8 @@ On souhaite réaliser une machine de \bsc{Moore}, c'est à dire $S = g(E_p)$ et (B) edge[bend left] node{0} (A) ; \end{tikzpicture} - \label{fig:automateMoore} \caption{Automate des états de la machine de \bsc{Moore}} + \label{fig:automateMoore} \end{minipage} \end{figure} @@ -298,8 +298,8 @@ Enfin, on vérifie que le fonctionnement est celui attendu par simulation, ce qu \nextwave{BPS} \bit{0}{1} \bit{1}{3} \bit{0}{2} \nextwave{SBPMealy} \bit{0}{1} \bit{1}{1} \bit{0}{4} \end{wave} - \label{fig:chronoMealyTheo} \caption{Chronogramme recherché} + \label{fig:chronoMealyTheo} \end{figure} On commence par résumer à l'aide d'un chronogramme le comportement recherché pour le système, représenté à la figure \ref{fig:chronoMealyTheo}. @@ -324,8 +324,8 @@ On souhaite réaliser une machine de \bsc{Mealy}, c'est à dire $S = g(E, E_p)$ \draw[->] (0.5,-3.5) -- (0.5,-3.25); \end{tikzpicture} - \label{fig:diagrammeMealy} \caption{Schéma général d'un système séquentiel synchrone} + \label{fig:diagrammeMealy} \end{minipage} \begin{minipage}{0.45\linewidth} @@ -341,8 +341,8 @@ On souhaite réaliser une machine de \bsc{Mealy}, c'est à dire $S = g(E, E_p)$ node[above right=0.25cm]{$S=0$}(B) edge[loop right] node {1} (B) ; \end{tikzpicture} - \label{fig:automateMealy} \caption{Automate des états de la machine de \bsc{Moore}} + \label{fig:automateMealy} \end{minipage} \end{figure} @@ -451,15 +451,14 @@ On va donc compter jusqu'à $40\;361_{(10)} = 1001\;1101\;1010\;1001_{(2)}$. \section{Diviseur par 2} Afin d'avoir un rapport cyclique de $\frac{1}{2}$ on utilise un diviseur par deux en sortie. Le fonctionnement recherché est résumé par le chronogramme de la figure \ref{fig:chronoDiv2}. - \begin{figure}[h!] \centering \begin{nowave}{10} \nextwave{Signal à $200\;Hz$} \bit{0}{1} \bit{1}{1} \bit{0}{3} \bit{1}{1} \bit{0}{3} \bit{1}{1} \nextwave{Sortie ($100\;Hz$)} \bit{0}{2} \bit{1}{4} \bit{0}{4} \end{nowave} - \label{fig:chronoDiv2} \caption{Chronogramme du comportement recherché} + \label{fig:chronoDiv2} \end{figure} On synthétise alors le graphe des états correspondant (figure \ref{fig:automateDiv2}). @@ -477,13 +476,13 @@ On synthétise alors le graphe des états correspondant (figure \ref{fig:automat node[above right=0.25cm]{$S=1$}(B) edge[loop right] node {0} (B) ; \end{tikzpicture} - \label{fig:automateDiv2} \caption{Automate des états de la machine de \bsc{Moore}} + \label{fig:automateDiv2} \end{figure} On réalise le tableau des états (table \ref{tab:tableauEtatDiv2}) et on en déduit l'équation de la sortie en fonction de l'entrée $E$. -\begin{table} +\begin{table}[h!] \centering \begin{tabular}{| c | c | c || l |} \hline @@ -506,7 +505,7 @@ On reconnaît l'équation d'une bascule T. On utilisera donc cette bascule pour \section{Réalisation du diviseur} -On modélise d'abord le schéma dans Quartus (\ref{fig:divSchema}). +On modélise d'abord le schéma dans Quartus (figure \ref{fig:divSchema}). \begin{figure}[h!] \centering @@ -546,7 +545,7 @@ On souhaite réaliser le chemin de données du chronomètre. Cette partie devra \label{fig:opSchema} \end{figure} -Pour réaliser le décompte du temps, on utilise une association de compteurs similaire à celle du diviseur de fréquence. Le composant utilisé est le 74168. On utilise 3 compteurs afin d'obtenir le décompte des centièmes de secondes (non affiché), des dixièmes de secondes et des secondes. On utilise des décodeurs 7446 pour piloter les afficheurs 7 segments. Le décompte est autorisé par le passage à l'état haut de l'entrée COUNT du chemin de données (reliée à ENTN et ENPN du premier compteur 74168). On peut réinitialiser la valeur du chronomètre en passant l'entrée RESET à l'état bas (reliée au chargement parallèle des compteurs). On obtient le schéma de la figure \ref{fig:opSchema}. +Pour réaliser le décompte du temps, on utilise une association de compteurs similaire à celle du diviseur de fréquence. Le composant utilisé est le 74168. On utilise 3 compteurs afin d'obtenir le décompte des centièmes de secondes (non affiché), des dixièmes de secondes et des secondes. On utilise des décodeurs 7446 pour piloter les afficheurs 7 segments. Le décompte est autorisé par le passage à l'état bas de l'entrée COUNT du chemin de données (reliée à ENTN et ENPN du premier compteur 74168). On peut réinitialiser la valeur du chronomètre en passant l'entrée RESET à l'état bas (reliée au chargement parallèle des compteurs). On obtient le schéma de la figure \ref{fig:opSchema}. Afin de tester cette partie du montage, on introduit dans le bloc l'horloge à 100Hz réalisée précédemment et on fixe les valeurs de COUNT et RESET. Après téléchargement sur la carte, on vérifie que l'on obtient bien un décompte des secondes et des dixièmes de secondes (figure \ref{fig:opReal}). @@ -557,4 +556,115 @@ Afin de tester cette partie du montage, on introduit dans le bloc l'horloge à 1 \label{fig:opReal} \end{figure} +\section{Séquenceur} + +On souhaite maintenant réaliser le séquenceur. Il prendra deux entrées : $SPB1$ et $SBP2$, et deux sorties : $COUNT$ et $RESET$ qui viendrons s'interfacer avec le chemin de données. On cherche le comportement suivant: +\begin{itemize} + \item Si l'on appuie sur $BP1$ le signal $RESET$ est actif (passage à zéro); + \item Le bouton $BP2$ permet de naviguer entre les modes comptage et décomptage (passage de $COUNT$ à 1 ou 0). +\end{itemize} + +On synthétise ce comportement en une machine de \bsc{Mealy} comme le montre le graphe des états en figure \ref{fig:automateSeq}. + +\begin{figure}[h!] + \centering \begin{tikzpicture}[->,>=stealth',shorten >=1pt,auto,node distance=2cm, + semithick] + + \node[state] (A) {$E_0$}; + \node [state](B)[right of=A]{$E_1$}; + + \path + (A) edge[loop left] node {$\overline{BP2} + BP1$} (A) + (A) edge[bend left] node {$BP2 \cdot \overline{BP1}$} (B) + (B) edge[bend left] node{$BP1 + BP2$} (A) + (B) edge[loop right] node {$\overline{BP2} \cdot \overline{BP1}$} (B) + ; + \end{tikzpicture} + \caption{Automate des états de la machine de \bsc{Moore}} + \label{fig:automateSeq} +\end{figure} + +L'état $E_0$ correspond à un état d'attente et $E_1$ de comptage. + +Puis on synthétise les transitions dans le tableau binaire des états représenté en table \ref{tab:KSeq} (puisqu'il n'y a que deux états, on se permettra de confondre $E_P$ avec son codage sur un bit). On synthétise également l'état des sorties (tables \ref{tab:KSeqCount} et \ref{tab:KSeqReset}). + +\begin{table} + \centering + \begin{tabular}{| c | c c c c |} + \hline + \backslashbox{$E_p$}{$BP1 \; BP2$} & 00 & 01 & 11 & 10 \\ + \hline + 0 & 0 & 1 & 0 & 0 \\ + 1 & 1 & 0 & 0 & 0 \\ + \hline + \end{tabular} + \caption{Tableau de \bsc{Karnaugh} pour l'état suivant} + \label{tab:KSeq} +\end{table} + +\begin{table} + \centering + \begin{minipage}{0.45\linewidth} + \centering + \begin{tabular}{| c | c c c c |} + \hline + \backslashbox{$E_p$}{$BP1 \; BP2$} & 00 & 01 & 11 & 10 \\ + \hline + 0 & 1 & 1 & 1 & 1 \\ + 1 & 0 & 0 & 0 & 0 \\ + \hline + \end{tabular} + \caption{Tableau de \bsc{Karnaugh} pour $COUNT$} + \label{tab:KSeqCount} + \end{minipage} + \begin{minipage}{0.45\linewidth} + \centering + \begin{tabular}{| c | c c c c |} + \hline + \backslashbox{$E_p$}{$BP1 \; BP2$} & 00 & 01 & 11 & 10 \\ + \hline + 0 & 1 & 1 & 0 & 0 \\ + 1 & 1 & 1 & 0 & 0 \\ + \hline + \end{tabular} + \caption{Tableau de \bsc{Karnaugh} pour $RESET$} + \label{tab:KSeqReset} + \end{minipage} +\end{table} + +On peut alors en déduire les équations de l'état suivant et des sorties. + +\begin{eqnarray} +COUNT &=& \overline{E_P} \\ +RESET &=& \overline{BP1} \\ +E_S &=& \overline{BP1} \cdot (BP2 \oplus E_P) \\ +\end{eqnarray} + +Enfin on réalise le montage sur Quartus (figure \ref{fig:sequenceur}) et on le simule (figure \ref{fig:seq2}). +\begin{figure}[h!] +\centering +\includegraphics[width=0.7\linewidth]{images/sequenceur} +\caption{Schéma du séquenceur} +\label{fig:sequenceur} +\end{figure} +\begin{figure}[h!] +\centering +\includegraphics[width=0.7\linewidth]{images/seq2} +\caption{Simulation fonctionnelle du séquenceur} +\label{fig:seq2} +\end{figure} +\clearpage +\part{Réalisation du chronomètre} +En assemblant les éléments synthétisés dans les parties précédentes, on obtient le schéma de la figure \ref{fig:chrono}. +\begin{figure}[h!] +\centering +\includegraphics[width=\linewidth]{images/chrono} +\caption{Schéma du chronomètre} +\label{fig:chrono} +\end{figure} + +En téléversant le schéma sur la carte on vérifié que l'on obtient bien le comportement recherché. + +\clearpage +\listoffigures \end{document} \ No newline at end of file diff --git a/images/chrono.PNG b/images/chrono.PNG new file mode 100644 index 0000000000000000000000000000000000000000..50e4bce49a0f1d5589b2a6e1087ae8fb23228257 GIT binary patch literal 34286 zcma&O2UJsA*EJkMq^Ljy5kVkCRBT9-PDlj3pwa{dr7Kmc(tC&?s8kz8snUA~=^#oF z5KwwYDFH$VH334%cY@yM-sgSae~h0o90?iboW1wid#<_Wnv3T;T5406D9iqvDe(Wlk3PV4ZZ=xL zbd4@4?@^~?M$b4KnW4{`RTcd;MqpX#eWi5!m=aEtNs6;P~tzUK3U_~nqrp(l5Ni%sRvv%XPbDL*dH{5UN2 z1vhxJ-g|69+2|>1<0`7hXlS+9{~Me6hvw@{w36H|MFfs7)pt39;0a@q$J;^hFyInh z;Yp}-F2&P+)1r*<9{zp?&CFb!1Vd!CG;?hWb+@-Uq;ah7TVp5UCLHcS91f%eZcEecqQHB`9dosSTs zkM{*I-jmGS8xX_K`tayDs&N2I`aGkWdyk!pkjTmrQ-SU3(R#MN=8FhS@zET6RgfgR zhqx3IOrHZT5Doz!_w`#W>Dv0~Y?JiD(9A9F%{%RR>9*-sckDZ~!*1WM`?mOUgmg0N z@0Bj=2tf@aFf5p3B~~n_tPy5HkVOtdin+*f@Hx)iI)OL#>ncJ*wIb21h=i&1qSrNr z3Q^C4QLcja%(tYA;N=saTeZJUdJDd5P1oQo2sS@>&Y2O@ax2k3l1a93EcC8tsr#)EvDA-}v5pVsA?x9-X9i@4YC4F@7`1 zrCslAmeSKdFKg>>va*yUYIdFNb9FBjkhTS7-8Oy}`#6BPLGLPI% zLh)wZkne>&N$9h{;yPF`y6dcUO#c2bvA&n~q|dD)II$w^*rLmqctQGzvlVrjBprFd zqN~Q7i({Gx)190b8`4p-Vq4cElb;82UQy^fuJyXqx9zZ-7w2&?_zS@zv3E)k5rwNS zAN$*K`sH)wS_Y$T4BHpC;!6jWET&%<_4=B0DTTmspL+gTY*fu$0l^o3B>XN)stiCP!}Y5fF%SpUMaVHN}7DVtM9G zV(2-(qE1ZtIfB|*Zcz}pcv&#+If)gxKoj3QE9BHzKi>n-wU>cv3j7QA=i#RwOyh(= zAX3W?GcZvpz0mwYOOK7}*~%|Q#wRv`ZvkKX0PpGByt8Xx!v9!3HDT>&`#J3#(W2Aq zjcYop;Ey@p|KreWdEdD1?b9BdBl2+grI}*jdLDt*ZB{0dBmi9PnJ@$#sM}q%Jc(@_ zd_-B^Q<+9K)WE2L6O+?r6vLI+nFlPGx#`)#uIr2;+12ctMihdpa?^%U`+-N(WHZmXNCNGKnpyy~kCz++lHg zH&p~0$c7Nk^8eDx;i4+!1OBE)p(Fa|0vPzI4>;av{DiHRb_$2cQC%)5aF@uzX|+!R z{*y%3nN*RU9lJ?I1RbFtSp%cY)o7>OXYtyFsz>-NPM~<<(Q7qldJ1auu=Me13PFDS z>{5Y45tz9&f8Ys%etq!m&{O>J-Q~i}V~C0nVtKFaAjW}{rJ5%+i3c3dDC$)0Nbn%- z$fo!a)@U_Z{`VT$&%kw-R?k4*y+apN;3i8)$Xz>X^8Xb@+GA!H&T)Ov*5w4B^XEto z?`_st6plh4@@rQWa&2M*734T3XUc$WJZ8!CiE0cZAd9MruX>whcAsx$dA>Bjj|SN6 zc7`>6kXUq;&e{l1t~lP>f!o>XG()m3`wi^unUVd=@S%Lm9&FczQ?3?VV1`!^6E``4 zi`i8AvABWOM#?-k`Wfo|{iBj8_@>F~kQW8>MWXjS|<0mPPb z&5_>WKgVeJ2BLl(#PWkkkDoyR5x7><8xIwsapC#?QJnM}6S1>uB>PzLCRU6ol2L#i z6Ho&~UJNAdRToO#29Ueq?Szt(b8>--kk82!{mq(3=KmRzjIZ-{8#Awhc-s=|Ou`Qf zEy{}s7!+JTn%i(25ykqbvjBo{F&uh?q`4LUboK!Yyznc8m#o_VdnCiY9SZ95E78{k zdPWferyxD#`c-9>6wl!fKZdg84v3t7bQ*Hqh~~|NH0Tw!70P04$ON{>_bp7fAVUx5 z6MU2N;CP?yIms3;{|eOb4}^G?&)!I7$nQl1MtIMooC9G=f~=_uadASyZ(mw9WZdiK zVZpdXyW)cqhj4as?zs0KG%2s}0l!sl95ckf z-r#@NK3L^s4~#QV_`Wmm`=PZahv&FgIyQBt&ujV-&>2+!p@3J@HYZcYqF}pi{r$F5rAS*l9J1cJinWAe*u5ALUZ(K8A0qxW`YdGQ2U)b-@F&Y zO3%jwDk=RwU~hPMpQDK!Y002ol8ib@1=%^xtXes`&(AErLu4TyGanmoIxY#KhKaEypfK&$-I22bM z=8r|g4acRL`J)XHN9pk=#R2;Rf!S#sM4q;NEtG!CcLVau=L6Aq+TUr&!bJN9+dlgp z`eYS#v@=qNB<5fx7mOIS_42Os^K+L>h=0*FuHP-kY&!T!nz4u36 z%`BOFNEIX#r;qU`pX>#r^5$7D!w8DNccE2UET`^wKQaiIB)0@3Em$m>WqRS!>^=z# z$erY49!VX1NK6m}Wb+rxW!T@rBNXMaWihV2d}izG0_)5xo%u5yUWYJibE+(mCJ~-_ zw&xLMT>r$40S=4`0@LX@nV2OG@$n!>)$X8$)^8PADG@F*GP8nIcAIRXK(?&SGFlZ; zxjFt7-}b06IZS2~uXuG4%J!q4K44(dQ=QgCQ4v+4J7;F8;=xh?EBy=M?ws-psty*i zH{=puP)uNFN=af3ARP|WUtmq=YgY|Htts@6dLN)ssY5bBzez&avZyveU9F=a8heBV zv+ho-Xa@=rwT_HE5ERsfZ1F-RpOp{R8UI%ic(Yvrey zK=0*2$qG<2Jx9t>hvYlGXA!pPw)~M$@VVR^nA!U6PGkjx(h~%pP7=EuNfT?ja2?o6 zx@wB@Sr=w5lAM)1?#Vex#~9q;Rzbd?)8x3B?Jm}UoCaIz^{R?KR>+LA z4PSXTL}AT)BEQ@DxHGz8{C@__;LI=Vb!0gqvjUMGRu!RDicXOU8(eKdhIjuM-o2>^ zk6u1vxw*(28je4}-5x;TWRipT^weTc&^*kxel~rnM;GD`-|4i;bk2~C8XdI(04oWV z4dBvl54Lgge1-DY(Gr8QP@nOV$m9wF=+A~NBgf=%eDq9b4C+iml}hdLnZk7*?apUW zO0`8kS#M@6dTRt)IGvt<+5PxqGFoA1MHYb(2X?UWk99R*7e1&D{QR;?Go)MsScxV+ zU?1XzW}8%xxucxPE4+11{)d`Iv3>l6F2rDIR4v&QH%gVl_MU3*@d0&>eh3zqwjLx+|2V=u3pI> zU%)9FD}Je#`C2c=RkGT|zX5qiJWTXIPoDs2u4efqSf*kYGtAk2I<;#Huezvj_Dug$ zI{pCi>#Ecdoe#(0J$|D3=-gOWH802qob zz9RbzV_4{$9;o>hGkmP&)v4W=4mjoDD?rK&d6QkSQS;~&z?PCw!0qMAIt0%>N{P7M ztqkI06kHIvY2%sqb924gXoC*&4NjsE}Gi)g>)u&XqQaOu-OAm6I|7 z?BE-HCn4{m{<&V9fIq-wSC0+q8*#8;A|v*d4EyK%xN&p)dni3g0aonI$eN*jccWDW z(FFXl0y&wpmIJjV4g&P{UkLsO3^J3_t<(Ytpf2Dx>xn<>d%5W9h&>!gSYE>+?~0!4 zNwU&;d2!4v!1vWW^{jL!II5Q6$oHqFouifwVmYSECzl_W5kuQ94=5E(P6tewO}nBq zjWQ1e>i^d?trDT?pOSt$k?TBRXLfm2g%sQ30s0A(b>4xmNURHDwVz%`0Q=_uhV$aD z9L|SXpE@lv%nhs%u|Ni4%lluCEO+Vgff2P7tO->Ny@S9g11gWPAn>UF?CSz9 z@P29qpITKFl3vX5Wqb>yMWE{5hC)_1{IXpDupc5Le=i0X9o@}Ezt_*^2rSrEbFayP z;n9Mg=$WS|$pRkG_9D}sGB=n&-T7C( zPUn1Pg-Qr3m5u8+RD?2!U!{(K7eKah2RhGW1;zJ?$Y?h8fx&@@KZ~~?Va!EqLs9-- zQsXUZN!@~-56yP3DuF&0jwUhc_zy zDI=X@G!3wbWAH-2Hf_DwC`{`0A8)`y=W!Fv%0*E1x0C3Q#u8Z-p{b9*2vq`=Mg$^& z_YW(&`!naryL@J zn3#bg3K5Ik?y znGfdM_`2xpI}YnK5$GcUV2Aa@y-Gq6;n7wX4HVl3w91RKJO%Rv*JWT1FQ6=Ph2|6j za&wkyo~;NRbdGKd1sn_`|frtIC02T3(wJB1^e;<6Jy4HyOqzk{kWl z&&h9M`JcJ?HjLKeMP?V)ghaMhjs{D=`*YVbbMF^d)3}u~&qLb%oI*RDhvw=lAI1fd z06DElFDZ!=R4nh>6l(wOASP1>9Y_rxY*e7`#Vv z&ge`kg5|ckb>$dha0!0l+n=sX#BT1W{$47~>dqk!F+U$sGZ5+^u+Kn2$G~q!pe(p+ zO`ewiD`~#Q??CFGRgS=ahIxmxDlILjqA69n*4=|iS%UdEu2futSNJ%i`%(m#@7|Et z5g(@`ykgDWasdeNQ_VlGn9v9YqrP-)nF5aZ0196ZzhUPaXZG03YJ~uiLy{v3SgxAI=bN{Qh%I zcAmQ^8;%FvxdqR^9)c>_p2v>xM6em7^m%<((&xB*O=<7W2vfGZFo6tBhPGyZj!c|@ zgcG@X+{lsTs2@z>;;PReOYPt{arN$a2|r$q5Q&%Dr&>;2SmG6xhKX5%D}UTj1cOi- zmmziwo=d9#0IU_!g;iNMQ!x2d>Q`vtybg8&zVV6&eDBIlOXV*=H3$6iactkzS`#mW zUIpGK?p*h-sf+1M#@t`l`PU`@IoO?tyyIMaK4mIi&EeiEC}UxM5+1?LE}sfW@55QI zhVN_GDoZLK1#ctVJJ&PllTw6~W-)r`jB?r9i3mPRT?VyXvu6OIRP9t#jPWTiD zaj}|rdWoG~#G>8J^t)3Y*EI;0@yu+kKUU#Wgy_1NOKCVW%jy+j15CvrE)&z@2@9Wk z671e+Khf5+(M-w4f4-Xqo=#W3=dQIoR-jPJz&;~k;5eR2-wdKaV$Sw5=m($uQrpds zYYHh$Bi5gqO1hLATg4yccceZ(6pY${d@{{e1i5@S1c7>@N1(Pqis?A@eup2(s&n%^ zv{M?fvAq_WpOSk%-e$3Uaykpwb5JfCYYarr=&=18JS+hY{$&rAnTxko7vApqUR9AW#at0uJp83ly9Do#7IXU@=N_bo?}ScnAX7vH&>g)Ack} zvn{Q&{!Dadw??vxw$Rek8b%?5@`^Y+^?x4c?Bc8*pu|qwf8FIBIAN#WBreU-PH_=| zl%iRerjf*Hjg@uEIMw+gaTDI7P0oPXhisg?FP%97gX$T1#@Lz#v#M0jl5DFgHfien zp{FS`Qzhk`b*Ob=P9jSuwiJOJKrUrGtga+ET4EvPFT;= zvjSvUV@H0|ed!fU3X~nU}79$I3-C`ooN{U)G1%DkzcPzw{4JgwPUQVBm-GhSYJEi$n zuuu22P)#oip4Lmj&k>4SKIjk4Ki$*?hHQ-b&ybB=1Gy&+N*lOkDdqzk)0nq>Sy|sz z8_{R1cJ{tBUYk6Dhs_zL``-(shWU(yL$lsO)ngX)RgYxJ&gV&ei82)IDpjq_Sft&` zugTy&!BDn#KIg^ti~}I!#HGIe6cLr$n7fsFlv^HCX*7N28_m#p$Hx5eBvgs}2M?2b zjCdI7hsyZNoyOZNea!s&ZMRmoG90Qk!jd`^+{yhRo3^ zhHFwU`|h~-#fBx2QF)B!ou)CD`cj1pIcvb)?aptqdvrcGy{<&^CIQctnfi;)mo8NV zwCXfCSGVObj}^Msl5t|A4Q~>5^ehP5X7>oC0(cuYvxOoGQYzrE+o{WoSb9B>);=8s zB;#kOl&vU1nAE1=z$IB?_3XYx00k#b5LNw2xr_Lp%Hg*K7hPlerK&`FkkTgO(8Au+ zL3NQ*Y`$@RrVEbJ7l}obJOocLYQ4OeR%JyOD5Vs4K|OpUS@y3JYxpWQZR|wA>m?3& zU?DsN?)9F>CkHFrl^=}&s({{JsitRFhJU#gpH6V3Sw&g3dw`8T`iUO$Fz^exq-5Oy zFJqFfU*DQ}+VLq0ixL%9{1RYc0ur6zb`vN#y$FFc`54}9Om`gRQcS_;m{b}#(Wsjb zh?|z8%?iJsLY&Eu{np}hqfC_pcm*dyeY5SsiERU;s+EnDYC~$HgJv&mL(1i6srfUh zUD5Fj3taxYLowV+g7W$Jqo0+_xW5;08Xal0bbu9S z+#IaT2>A5q;;01VRft7i>N6i=vP)sEGE|C(rHZ{P|B)IQqFd!tCruq1y%FQM5lD3Z z7BuJ%T?%U2a3%K+^;Qq+%p)6nTpfrGC+=GMkkDatzQqCcP|RFQ#$IP7&45$hkAy%&?B78CD(v7wyNuy{j-+;`jTPyN`+z{ETsnE6>vCZCm9*c1| zLE$UU%5M>`*lv_~_It4Xf0uRhsbOyrKv}ma<|`%dR;_SL*Sybz4jEGX`_;?|3gbvw z`%cz~hDwU->u5D)AJ(85V(suGe-9T+)2kOohtOHmJ&N#w=EmdNqOXFU_jqXvqfe3)qdCq9{q)X=&NLPQTM)X~A@Q zBH4n&!CdUdVx9?YNg=yq$<#rW`gS!H)GCY4#b@5J5p_?}NYr;`auFDgasyt@&{zsYBRg*PUpaU}Ik!Q_UmNMg%%^-h$A-Ga=j z#^c`w;V*T;@nk%iih2CA&?uzWsd5mzBe?Re_xTaLaqkQ(=gO+^fu=m1j3cEBJIr?tvlTP< zTssIyoQqD47|twZ^ZQ4Wy)y4}yzaih5T-DXkFDN5W6BN*?QT|pMjViw-e_S+;opr& zp7!byVfHCnwH7RT`0a}Muj&jpzplaECxehzt1T9lXW07tT(WkDX0KqPEe@+>f?owJ zT{v8VwLuIVa;_UpecQmK+8*kjYu3%Qx0SOUY8ngF(LsHmcC1F?-qCSUWyw_90`wuK zAXvmxZ|>P~DQU zvbDL}dz%9K+&7STx)S{fSv&_I_t*`p@=o-F*ls(~PNqi$wx91hnFEgL!FKpclX?%{ z1J02x8{tePhLk11!zX94|81{@-Wda^K-E!ttuFrz{e6P76bH+f9R{O=IilcuyqzB~IX7<|GcwJ08Isr2EIB zu13V16fx#)je>=;s#(@?mjLXt%t}x>)9ZW$d|jYom`w2D=Q}pqwYPU*(6O#< z&9rxb;wl$4Qd2fV>Ygx-GF!^F0Q*j+G&*ngRMB}ca~w&RT5L@Z=a!-AZaXa_)k> zfWxlQ6Cro>H|SYU+6~xLcJtmUt4Mmm8W;5lQ=JB3y|8AFfmO6mz=W3kvbQP--nCLy zZc$=i*dD7S+4uyToa93U0MCzM?xKigSfQ$28v3^&_VdtbX;Z@C-Nm*038XyIi6zQ8 z?Fwg?DpH!$!1_jusKsBBCajg0MSdE@NHZ^m1%mE!;0?UgR8%->Z6Y?Jd0Pafw=ZP{ zos%?E41ekUjuH^>df)b1rgTxaH+pTP-y-zG-s6FCmCPz!VxGr|Tzr5Z7IGy7?@aj-Oe;B&yS-+XTUX5E9op7q{fIo06?#3Z1!K29FpgjFa3 zRhFne+C0u2kmL%Nj{CLwOPM;&y7GIv0mXaPz?pUQQxrR5QawJaoZZCZW=o_pAm=Hk z{^dx0PEd~%zshmu)GgX|b6uDFwieH!>TQ0V__ZKj)+ZXnqxL%5$}u?$t^O51D-_B7 zmqmk7@A#qdAe-ZzvY)!T8u?FUuP!rSYKkV*Y!w6!13K4_+brb+JIopnQz@EFiLd~s02a$o^bDgBp>Opt^m)SBm_;awkvS`i(zICo+s z)b`cIBI%69X99LR%uL^#dd+wUl(njN6UsU->6mS`D~Gg?+p|9LWvTnrNs-I@6tRm- z&@nWqDi~&yU=yes6I?xpYsH1r+0@I#iSVFz7Ywb3YZP}$41+UWv`16Wq)6yl?Ecc= zk|%7FyXIr%vy^x6AN3RFOU_9=Xq#$K7L2cG5mxiIRP}{ zgmqC7I!#`^IcyPcjmQ!xAI3zhuv|8C8@?%&)}CGm3kk%sqeGhJ03EbH6!qiKS)3v2 zuZJcPS_o-jx|-}qT}$IQXCU^+`Ql-oHTT`e8?a2EttvVyi;`(LpaQ^uJYKJ6&z?QH z&m5e*nzAsRM~Mnx^B@YX-*ty(psjF7$&Ty_yfc2b$ch)%*O>b=LVYT(9MH<`QzZ1_mx+jW5X%7iOh zjpeeo3$6R0H$PB{h^j@NS54VE_dr5^v;Wd$mNjFxWc6d)p_gV9{ehh`+Xu7F`jTTx z9J-y~ZfXm#4mAe~>&k@4t2T)Xh7FOwO}Z#{20?9^OLzORPFkz4yPJ^XVzQ7CBS)(9 zH^GiKgwFvVIvP$vbkljdhm34>g;t&;%v2uMr^YZayUnnDJ}u+)LvwJ?x-;Ry&nOP6 zZWNyZzFiSoL8Lk;7P}f(@8w7AJU`d0z{%=Uu72+pOZwg$y*5i9l@x(CT#B-XEA+VG zoKY~UT#Q&bAy`TsrytC; zt+Y43n?CkQ`e}V4%g;<6@TwVa*PrN1_V}{~ zj>rTd?6GqvR2dt`8i+K}E73LDEaejSNOSA2Y89WNZps-&m(NOCQHmRd(M%adi6g*` z-LA>ftFaW|vEuf8hk+*s|ATt^fRmK|Je= zAb!@T8~uy!E&3kcC2x(W3;d9B88L9!&8E30-D$Yq@a#gPXV6Y>;ZW&YlF;XH&(Vm% zKvI77-gT(Z7s;*Wa0qi|Cwt)Kid4al{2sMXjy->AHh52KNH^T=zHLwvs%a;(j;6OW z5=GI%911I(KeNQU%;XEWoT$=z4_Pb|t8#JvzNg3b2KA4qIhmJvBm8ELiuoh^)@@D= z9#~UN^}bXRE(~~{6pNpBJd*SJ>ZI~!rrD0oThzK?Zb^rJ%qR7FaT_x()^hA=y zahH+reWjbK0+a@U-66&FR%vIJ?x+UX0iZ(^P2IkjBY4kgx!!vKL9*tfFgbXWgqa`&jauYwPtRrNrO(q zqwSs~Oo_OSv^Zb}C6kzhFgEk(^j??B3_fux|SJJ!0NFCAcwySeNm z(``-#%vj8J14TjCS!R%XI48}#5JSD9eM7<$OJBeq$)g3fy#GJDv zKDYYb?kye5In&}gQV?xCeKk}g2ioS_X`2C^Pc{@>fbYgjI>@Hyk2e$|&O&Em0K8L|K==r~BvA3~O zV*8}Hc_kc~x`ddU#EJ_xovr!66N2(@dd-d*OU4kHd#ACNIu;I`vNX)cw|9Ib)r*xd z8u>!2tEMDx=aIcc`D;Bp#V3MAEW}Sv7xY;2JM%KW%NQzpe7qI+1|{-64;wvrDyo-g z^GhO?eEIYpAd|G1L=(8G8Dpx*E>k&~|mHM4J1gY?aCD zg+%Ooi{>X-vtch*@X?n$=zRuRw52tBGRq@S_(BfP#@!1!TwwVNL>Hc$2fBXUi0I>9 z)m-xvt@9ja9q-NE#2z$U5=2^~r7l4D1j}qer|NKSDrYvqt|~$j4Fk`y7kR;77Q>Mf z{4?f=ijG*)315H>%I*6gRq3b1wx0HhD|5SV?$PqWxSL0 zo0nbOs@+*7Wx)!S`W->jbKdEDYDmB-aoBV7w1mc%R0wL(m7nqb7~L+iy;}fn^S%_{ zjr|z)R@X38fAohOw@yL2|7ig&))PTV24cy#fsGo)bff9OTjz@-kNXA%6~*sP2c~+c zEwyc?=^rBcM=0ESP_ScRrf5^A9J!YN0x5q56=KGErWV%*@bHA5UNfY#XfMl|-}ch_NT>!Ncl zjvJ__e(!E#wLa=fhg>t$0WQm6lw?FO(o|arSH0$Y^zA_Zrd_3pJeyP(yHCiAo7zG` z$@%kYf|2b=xgm-<^32EZJCyVFC)3*w5AYmwwvs=QaPkBK?MTf<1acJ&gc*nBr>({N zU=ELDC##S8QolJiVw>(%q;+{ohZC|4WGbsuvDuHEyCn{XIdkY}XgN#6%rvA3VLUg& zZ34WoUL;<4Ds-MORP;A9BX8?sTlrz)NxB9W&tX&uk#`^Q=8^i5XJJM0 zzhZMlzYq0VoZE9fYp;Ypv}sQcYLi~nK1Y*!>ajs09<&>r{5Ik8o`$#`u`9v(H+{Sm=X_zrP`MHz;r}qV@nMz7MCaZP z_TCR20f((R0iTh_mT;9_pB|Yhmj$EIlum5(lTj9Mu=~qH1>^)vR&MIShAr(X4-^)mfNZzW)~Ncs865 z>>b7XMNmozGJ^3l&9%F+`KFnF{i}@5UKfG&=t$CAwx0?nl5Kdo-r~>%od1Cb%O#S4 z75*zB??2V`PIk=9hn_C6OE=HHc?q8FGpO}Tem4$sMX`ZSTL#%r7N%DEA9RBBk!A|= z8s%A{B`M}t9PI&P)$N^K7kh#1nPmvk@mtMHi?=&L*NOqsS3w#DWXmMfBJpu?X7Au< zzE(v?00o~wZGES4Ic;lX)tWR1T9=1k1y<5Zu_SrEv`SU*JumSsvj5G z>pQQ*06m#m->i&M-rikO4TFxv&N|j3EGf0H9NPC;v*X}}RaaG^433w8|K3iUURiu?}N`+`OeEayahLUvx`{;`DWVEPC%IUrV+5k`;7*<{)wk2O# zuy1s8G+Gonj4c&V722Mv;(O6{rN@M=OLj`1$bvuN=5L2ob>4 zqO{SxE&gq*D!!3DB4m5C+p)2ARXT3)gjZ)|S0x6K3A>{`wn-zdZVp@!y?luq9B-bZ z{|IqseGxiwEo;u3xw;tu@G- z!<=dI+?e7dR?z`{{Ju|{-%y_-O4g+0{EiVCQqlO1c_9QTjsf07ns`z_M3Tgiti1PC zS+N{i7@ECCO=o_+i*Wi)5g^ED3Mk6m`;}s4zjg(H-c7~Tfk?mR=~&&J=E1W*mo_J; zbcI%4oR22hz5xhiYj}`iKbLxmp#5B)uSqszSxHvx=Ge5&eI!mCP4)KahWQ;%8FFuV zs2$?N$%hl%^>qv-8Pp3OGgj;0rS`J6no8>)W4V7!dVQNl{A7JmuZ*l_n0Sr=hGO?4RW*JJ-!4m9Ttp- zP;$wp_ttv|VC%puuF2HwOH9Kh729NvYrsB3!98kP|5c2+;7D4JXEc+z$b)Md`dg~a zR84uTK&u819+E_ewl)Vi*(=}&9`94t z5k2J4)gM}QuLYlaoVDD1cDu#?L`fq+i#FE_L{$Dd%RG2ylPlC?mUO}$C^v7X?{z4+ z&7^+LANaI172@d@)qL5lblu706O~@JA%4_;in_79zwBagynO$a?^}CV#%VWgq1t@2 zN`PuA6!XC@FdHnU?BoCr*|H=GVZAg-YGbz<3wog^n_nZ0Zxn>_#j;>510?+kkwb!n zD;H$EiNHw1H_eZW5gJrOe>fEhA-9Z5BkpDFZKXz`VfPB_oJJ~8LdiLVbh1sTiFb%e- z{-DWGz>RC)t%}6TnXyKgMv;@Hc6Tw@C3U{soLQ}Hnb{(RlleZ>)nxMHGh4UZx6l^e zMH~>*&HRBrJhE(roKzJ+_1sDbZMMhmcK2-SqS$DZEwqoJtC^Nb#pv4{TosOcKV$&; z(8vKRfOKUS;n6FeA-_(zso_v+_h^p-rVJrLpKk(;~cOCA7g~8 z8Z(OOw-R?0lhxRtbXnqWyr=pFqrxm0!_KKqJnPT(C*I6A^9@B+Jn85mZck6D#T;7{ z8QFi}hIVFd+I|%UKZ4xvvEce_{3n;MQQu8?`>lm~eu`@3tTNc4_|N>!Xn8b6FX*c~ z_;qwu3RhTVSTP^CYv4$6n{_XLN^T&@Jht1*>w~GJTq~w3A%9c(G(1getwph!j-6V` zQ6{ea#y3l*Rt+AAU2xs0E=!0OtzoaH_EA>2h?0Ax`2hE7Y8kO{T$ONYmU?)%tQI|% zXh!p$FzdFWxmeuTs8B1;kbiEFVT^8S=sITpz}vu7+kTS5i65MR>l92$ZqSQ7rR6%v z?=qw^ae!=wtzT&Rm&DhJS9s+@=ns(Jbwe)305hYDb)r>7p>zU2F#k|iLz$2p82uci9dj@= zd*bcjx4aZ;t0|!8>(XKmVl0gYIrgPGgTt6g-8JI?BFwiM3jW3e%njPcj?N#&jzeVR ze@18s{{%F;dGcet(*Prt@{dT@N!?uq zKn+T;<1$X3FgqP`f7L4V;dd*spONQZuLT?j3nC%9X-PG*I@egz>;Bjc--n?7VbmAZFtp2g>;7haGXtqNC+7Li4i4ngR5%b}(oC-_260vA7p^VGVL(e@biG}cB zI0=S_yFx-Cf;1sZwYw9h4ncQ~8Y7DtYdz`}*Azx-F7#>l-KW+H zx+e_gOk-dAt(K^2 zMmM%c%j*F$k|3%+;u2rFO#ID7vML#vkD7ef9FiLAH#w+D&)r}}P9b*ffVKe^VtosS z_lS3wg`(6rjavierzf4?<~~~USn}c7nLV0T86a?<890(ycVHtc4cD{2LaLzMpRcz6MAd&dLsDYf(b3|ygTWuj`H^>gt=pljN1$k;Cd z!kr5oH;;sJe$UCWzDjq^5^p;lkcvdHq+`cnWx(kQm~kIc)q+}PYF6(n&GhEHONwB7 zbVJ3gJsF}>8>xdVGW~bV@lvE$-h%$FybV?y19==V`Fazu*V0%&ZD$4X0zJbq!$RNO zXRZ7IAol=lkn7(AEX06HPbE^WbU(f%in7rcyIvd+t5`8wxP3tYcAvSjMx^I|J5zg( zw@t3S>(o(lwwwmxcZdFZP#9B*?(+D#*Bf~F z@kp|mwDcpB-vLo=kcNxp`rIa?>MZtzUY;8H zh9-Eq&RQ8$CSo~sVr03&n!1WzHC2%{{gdw&n}cP6W^!4l-M{pSeKa?uUFfMT&9rzi zeb-=ML+@)Jqm9Xw9#?GKkzeJU;IES7c4sXd^RQwMIY(_YUhTlB{2IW~VcXj&^^3H4 zASD1^u+LNIecz>-E!w-ee^f9ePTvLqP9jVf-753PFW|tKG&%NHn21_pv z_!-%I@Qo*88<(|-O&<}MtF|JqO;I3#JN5qUGD7phRTt@9h(w_B;SwLC6kEoo-_g7W zK2z@hSJJ%A)Sot?4KXo1>%jo8ELl^-7VpB{Z6N=r8XW?CvAl*{3v@T}<{fMxk1&1| z)p&|}-N~L-F}*eNupH2N1-8SXZA&v2chu;ILxvOXc}Fxe690a9FURrbikrBzqD15% zCjt}x1SnzQHzmm1fN6W$T$Ja3grxDrtjmzn!rJrem0b+4VXGy}e*wygqrFVvwYC=l zGYk(a6dwQzq=6R^aKTwp0Xt<(iIOm+bnbvLFF`iu3`6wou$<{8z$unPD~(_|a8%3d zegs)H;coQHzl}#y+#Bib(Z@_koXgojPcbvzO%yO2?uVi4fKt7eL0sksYkqt7cR#rs zy}Cm`b4j~rY>Pdsmv;592cxB8lN|z0R3759S>KdUzoobYb2a6zW0bB%g96(`6-)P6Of<%8h zj3y&u&N(&#rI`s<>x+Pjd#T>@4BA+Y8I>BQ3{+1+O@|F8Fxmb@wINL6LChmlh#wBi zFm)hDSZRBRa792{p^^n-Yc}CnuM8+9YKv&MZMxj*1<0*CDQyB9Pg5zM9vgS%n5$%p zUeRO>Z0%cq_ST7|Yvv%m#RFAq+jvVuMF@Yi80rHiSaevm*EIg8SOOAp{ayVC7P#vJ zHsN8I+)*Zm~IHkfpQg$CC@ z0h-$LiERYu1$*SO;sFrEWLxM1sUAQpAifNKVHnspsiyvwc)@`dSfNghrEBs)^%<~h z14#3OtvTNKXFZMt`9(^ovNa;$+~|oB!07Y{JXK>d{{Q41|9emTjl-|uI@3kTz?O;p z7Z8skk06==AZ~Te2!Q#kmvrC_4}qF~buv9H%!z1Kc|gbXYv6LPN5~B`vZ?kj9Zv71Z3pKf@^(bqM6Ec zwAcA~l_oYUW?tVN<0-gL^?5(SYA4H<}?V|O{ zF-kCiTKpHE{}B^{N^Q#O2O5j`aC|7c+1kwuX}3{|W8cw6m?(dzvw-XVW6ai>?e>;o zU;qTe57gE#ITjb(cefO#ZUak^`%*#3A$1uLUa{^L+Ja&qStACqFaKrROqMDSf6&3^ z{h`D3lfZs|=Ra%*=!e|-fgtQK zW=DKs5{us0ic65S<2&h8jTmtp*oj4LmvodQ3+JOt1vDR0H}$l<+rQJB;m|;dTFTuSS6`JzC#o_m)Sy`VxPurl%o>`v0zduK|=$<~Zp28q_v-VrZ7qx5O65Xa|zx z8xc!y(~=34>93rWXGY7(yQAjG5KjAK_R8QgLgT+l`r7Ra_#vaSe=(zSqO*p3nzW1)m2`U_;|Ri{KX6e#ezzF51%#Li5Bk!6J$ z4^n{4f=~9-0(CuSL@jZHOK98wgQhbkxdATVYs)=m$47)9@2<&OE~37&KUlIqQXeYU zGU+0IR8ge=|5f(h@l^i*AMkOIkyT2`jzSWV5)LO4GD~IeP4pfoMIm+ujXNOxfH5RAQ12y9&LiVTf z=tHOV1C*MKuubQeGy02q&!1zLzekM;^a39)U3x*1r5e8hrfSOCL2nH)`3Iu3F(t^Dm$0egkQ?W zp9KJV{7(PSS(_joA3o6injz}LtyGJ5sTgbu#Wb63jI7vShY^ct_}G zhxu*x7lyHi-e=Smj#Ei(y^T6jIduGtF~VGm;;I{0O-vi37mZJgAXSoAq|oxVv%kRc z#%5XMGNXRokNZs2E`SepOo6*mH-!O{RQIB;@(Y#vLp%eRnViaVg*D;s0GXDs(IQ+L zUU{9=&;FWS7dTw2VEdijr%R!8@v;b)Ls<<2Hvy>}$;F5?sX8F*{2pWT1L<`uhq=Hg z_{>G^#^eR}c+JZpNH>Shk2ueu0USU78cp6yoJYvArfgY(sj-tHmO7trB*fl7 z_}WBJX>$`=CQK;YHR4Qan=#eE+mr9#E3JhRAg3YY4Yy^T@}C9IMaJu6TAMVh|J z_nQ$ZmAptD&>D68oMF+c?yy{;W70eXGjiIQaq4NVUaO(XF5)}GVo#d}Jhok^dr5vp z^Bit9H>JLF$ti!VgK;s03vK13={yp>+e?)OST<%7mW@N%Sx>N=jBG`b>2?gS(<98w zEXSH|s`rMwxHPUYnlNak==;tj0*q?^`imwBhvdCiZOVsKM~Ja znvKQ8+vWHSm~izhZXa=epb>4S3W`j(3xjTonwn6+Y6Es3`^SL8V=r>0RUL^*CR8lq z@<})3xe05w__X_FpI+!|*!!$De$OOaDFsYH5H2mbivl=EDQcjC5kd?{ZLrsUu$H3O z@b9af46juhLqwo=2=)4Q98&nWFmgMXk|H7WfIN4qQ8C_o{VjK^!!F({+R;ev_W{%3 zUKPZ-TDu2n>25eo)*^DuE_=&+skbugvuVw>Gbq7<0K}TNrvatrV$A*f-GkN!8Ni zc7KVQj|oH0nMKYDJ~wXZ610`KGwLs~cCJ5T+2dR!f8Fn!e66Q0FDZTlr8Kh(vqKY_u9b zm%fbC1X;3@NPh9k=2rpFD1NRgx=5vSoJ$BN|FuV;riM+-b1IOJd%TO_4={FDPeu2S zKS)8UM#03(`~KDwU?z*A#vWfem08^bPKui_mG%ed3Xp1Hc%zbKVUB>LGZqz9$3EUL zxP6XT#?v|w(e~^tj#Sdp2Gnza9E%64PQ+}Keg6&J&09GEt zYA@J5KmhGg)Z{*(U10=ZhN`K6`g_6=BbW=V|0~5@>JI%BOxW zw4ff5iEpw=p1lqG3}|rUAI~l&@{OFXFj)Z1`rSIr${0qa$f>VI2TG01H5NhVCp`tUo}p9REA)Ru@(G`nqINe((&G9ISZQ@(IBhRI1@FhT5b4xj`M zlEOYWRJ}nl1BZ{mzGPqfOOS%c`sL9Zro+@BNZ-D#)2#6GhtQm1rup17p<-9L#Un`^ zav3AQTQ1(-09rMp6QjkQDRRx=IHVSJ8{YS8WCHHJF&P7A#Q%T~nc1%zHxm zne`gr{f5Q{5X(cyDA=!hD4wFjEUlG++F`iz`zZHQ32q~M4oJdx#>hMm;Djv$7gyK( zICuxp8xMi5R^vcy$11UW?g>gpuw6QmxHeO5g?kOE@bm69odf`1q4Btup6|ac9m`ao z?NPL!LC7u^J3GCiP936Jag~=M_5REV+!n|x{3cx`M5W4ypCgs^BY5ZXhvjH6pTyjo z^nR>4L|IrD({&)}Uf-XPdR}v_wE8fk(W7tcZHV!3pN;9)F7pARU5 z2&x~E-XqodhEZE@Fq7?($yIej-O0N$lezO^3IQT%hWCJq3d0|t@0w;RwgM+;O2Y0g z^_Uo=1&%c;H@|a@J7}%CLLmlrUhhiFFu-MRais%NT-^TZH*9?8sBVS%l(51{SldZc z4XbNH6DZ2-tKSGT>bT5A9aT3nT+)LJLZs!syL2Ep67MoPn`y%H{$nYQn`^x&uvZ#p zShZMrR!5CC7A_wBy#=?{H0AKuPtc6Gp|+MHYhJDw_cPbO^xN3{;QH};a7yzmO@~g; zgvT{Y!=#*gB~Dn5Qb{}r1sw(aT2KQmpKzVY>Ar9kNoEk<%X(e=E4;Us!=BtrINU>F zm5^J`A653P-{-PIs*N}C%}XNcIFr<;Nz+bRE<;yG`<`2?67t;08za1ouuh=s^7>v1 zQa46wyP{v(6Db&e#}1s6+Qj4(8DkgbBiMs*!Cy7`ewZ3-MD7*-@|k0OS4ber+Tm~{ z2}5y$2Bbzs8O3A8s?=vBo<&9yLAPA-#Pjp%(>2zV*Mn*NKE~6n-2!`#`TqJ!3ws#)&3|5O;x zwYzV(FprqS-SRh5h{!HOdOR4Jk3ZP-r_g}6{|*0K?w8vQQOp#N?X+hW{OwovG?7UF zb)BQq-LRf(6~yz!%#jXdFQ-ypae2~ZMFvB z;op-wTtC+Nuk{{1bUOl!O|J9|y82aQWG83ApAGmo##(7@xLGxh-a?sw7&85$07**f ze(SFyqTrUP1E5KrY3O>)#MAO$1KGWP`2?Q zh?TTY$`9y56`qEcD@29xO1lvZHhKm#R=iI!R=rxkpTsb>Z0w4*7Wo;Txn3w{R@hR1*mD4R_w^%K^JG00PZz*6nh}|GjxU>#TEs!xPdJ)* z=WG+hnkE~DDbJ+KK^V*(0P>wPsum|g{!b``NvqNqS293IHjJqsha{;-_s0W!Idf0FpKV$5bp+w|+4=J@rCI*XR{*-M@>cZX-PJFX( zv`yphSq~iO1J508{t7zP=MWKETo0ebM_=clXWrow3o$QCkIMlu_@zSS3YPYD6J$ryEiH*7*pV ziEz)b6ry#HneS>7W+%S(ovMns!{t3h(#2aaUD>Ke2Te|pmSW~lVb$fxe zs?_Aer<4Cjv^|N%5dHg%7b|;X2}VoBUp~Z*aT~`vZIKZ`{6k}^2hGbuuCOxDEx$^@ zI%7VN=(hE;vic~21s3?@=^XP@0I}2ZHU#m$f7WMC_9sFxI0=-TA7`o&zoxsT{v##! z{k6dnhUa838=&6t`lT1qeUii9Pzij3DdJV0sGHLpJf48!w|bYS^~T*4)v8t8!b1#` zEe(L}?Y5Kp)PH~qyoyL_?=>Bs#x_q^z?ujQhA|`W&io*g%!DFpYEQpg+TSj`2x0A< z5iIE1&ZIby*8aBA1nf5bGA;e2W8&1qlLZU_J|`1eExrHWT6 z;!N<+xI>>W##e<%|4ShfRFWB@a|r553}=@wLNShyKS>U!ee@^`lUv2MmW`<>BC;>3KxrA6$ zCzej%rAZ2%@6L`6Z|ds?CSA2oM}7gzu>i~K4C}h}y&iNtRjDbG4)to?=cDKWO@!(| z-_qrc`i+|35H3)fGACZ#>`;6fpU2j5CiOzYC0pB*8)mkqGneY^Jj4Q?YE3dbi1$e| zU+f*`&(k>@ZFhzL4e!c|DpO~^bN$Et+D4en&kpIv2KOtEkDn&K$uc{xcLSUuDDE$3 z5AYUqEGwjrBLZ9}Sf-l0gPse=3r=ru>u=M=1vqw`g@WLI%|r#AOr1!7Pmv}X%+Yqq z{sY+le%kP>UAJ>D2C)#1-j=#(H^P5myt1S?IQ#J*p9x6kEK%IW-vg=lD@sY#D68^s zyR{S1IxFY*ONW-K(@oeU7;FL2U=^qXZ5BFlZG2wx4Vq+9KBuKk#>mqe7bI;S(E3zT zuELiv8&HX*=0J;i&>3!*&lx-)f6)J=P9~DMquwH%Q`UD=XEl9%`PQrw{e!KoZvfvre-E+b8KoPI4BB1iMY2I!=+6Ntxrr5=tiFG`9lEi7(a@YHD(D zJabAlbzOdtBo8zbnywpoQ@glWW$pCqpPP;>k_(=FMYEqxU@j3k zg|R5$OVbqi@wnW+s?dYiQ?U$zk-yN`(oc(MTFNk_;>2*C6mu32I6Od}bSpL5&rxr& zBxH=^RwY-pWSYi=1g=_%_bisa!Tom_ypufi&ab`rVy5!7OdYWg()p>r`j-w83k^nI zCoA&7YJTaN9%r@HgA|qytWc(F`WSc;9S}107ou@vVq_5VahB8*eo16q!^ z-bHxdlx<$rLtvI=sfM14CMaIKU8#V9Cj;t0*}25pl${9z2pD@5b(Y=%GE&PM zc-5Bn4=-nI*ILYkgAzwS`A1p$23mn6?q`hN1M-j44C5dB=tjDZ%~2|Dz21M4zGV_& zvQT`dwQ|enaN4|fK3<{f>~blMMNqz;T6IJDyDQA_6A&8KDAJ7r{B?{=__Pl zqOo2Te_GXpqs`<+4M=>9p~T03BQa+z+3WKiGsxgL7#Vg z=n$a%!HP)zT+axmi>7jr-KyobRIes<1m z7)hP8!=9E|5sa@u>~24ec39EPcuhvQbBX|(B@YJ>dUDmwPn>Jpmd&^OM*K8|{5QSX z%7@m6ld<{tyywURt#6Gd-z(kAadu{hKSwQHP~_-Yn(lwjrRodEw4-U?+i3|GN_Lp} zYgcNI5||AQcmz2_!ov+NqwP?j&4y)$Hx!3v zGG}(uTqhTI8q8aVPIi`b^fph;r@4ZtYznmf4u3mK$P7-0PVJwG2X4WpV9DW$Txltj z?ZiAYJtUZ1%sl0XnoMe}j}QpF)XF?jU_Xc=NEgWYKCyFFC32eNm!H4st}uLcSt_7V z9gGl?siZYD4NoXpbS9SY|AzXcq9{UT=PK`A>ILWP;&_JFi8_u;h{|0B*=&w)-!(Ou z$y@38Q_qqkFcCwvb4F9os=j!@KlA*cdGI6;=SJJ@wahNb+v9vvJ%}rtMeGBd`>T9E zkMg^R#eLhSwtB`|zRX->unfO8xcZ6ES5Q}OjX46O+sK7^9(SSWbD?hd4be8&s9Da^;2k&nC>Fl)zsssUIWu#0D57$^Qaw2qr=C*WnVcioBoj;XjGaD6GsG5aK zAM|&2qCYz{5=B_yxm%l{=7esv2Nx@jUO?7_DhAioGHfRIxY=0fj;lCEV9<)JB{e~ z^M@jGMpwKiab6q35BvHP!r22)RLRHOWlih~{1o$L$5{{HqvmGMTTsEywg z{QI$-dv~x0*|a*!7cN}HqvYOg@H?K^?bHf85+%3wA1}=m4~o|m8L3a98}V~(`FIer z_eZHPnMqKr6z?Bkd-&aXQXb6}L|0}LesIi}mNHTfu{?lpU;CtOT{*wvzs~;rty`Q~ z9Co%bM6LD?fO&yF|36?B^k{-@duP1a(T*edBWO4MQbMToXGL6EDb|-O;2)z;gPi^O zr%KT)TcxPJ4D_DuRaexPMJJtE@2|MC?b)SgoDaDrf4%*>jk@YY|AxZ8<$-=CTid|O zd7vo+dS5r1-D0h*ulmjPTrKxVxZ$*XM&p^cY_W6{a^BbPXz^Ut@^U`$Q{-8=1 zn9DgAj+7eB;AgUq_C36xttJz|8H9W9btWxWRp2Kb>JL6CvE+nh>>|4&YQ}_o^N`e* zT+(KLV19r!@MC6pdZtPFsp>CZ26<$b7@q302KDc&I%vb5Uv*AiBbXQBJLR&i%#1G{ zNz%H_Y%Zc>V`1hfkaqGf)e=lDdu>4L_qy9nE2z;g6WQxupX zzq2Oka-YoL$@LfG?3yB~y5*<#j9YurMOFse9ud>y8S$TZ>mVsV{OSk~iPv4eZ@*p( zDxI7|Nkz3)i0#KIDVJVMU~-^&7l193*hu6kk~4`IqFpPV?Yk_K)!ROS{9 z-CD1B%ao-!Tyk72MzlR%mI0Y^y~o+`QIBpwUwBPu6+J!Z%eib`7nwc}GNnA4*C7){ zTx(Eiu9VdEgtk7i^}9VnOS7`BY{07Bu5kL*4T@mo})2Se1X zwR#&)E_4&M+i~>YTSn9rJ`Vt4zh6rp*|DdS26Mo(?=V6urkr^oBbM&FJ z9#hPb=5H|i#IiW~p)*suE&B|U^&WnT1+N=*9N)Uuf^hC4w~}ofOE;1$SJPsAnv&|^ z2_=^a4;&Usu<{%xmue0G0wWl_$g`$$U#(1liGXHtu#C^$_;%(j^nGSppSZk3P-%m% z`wA#+(8K9l1DZ?792>6pln!mYED_31Ip=;Y9Lbtr=MzC!?5Oi{8r$)$RqGwLdTAck zS+{-Y(!h##4(oqg>}|v1d5i7gitnQ)DEZ>@*qZyyr9+hZuJGMSac&%km$*G&$t~HU z&3hkE|IZ-yEsbsE`nME@Rae%IHcCI@8Tr^C}Ja&Z*cc6lCrfN^S z0-tuqG9CpC>uIh)1O$fS0B0INURrV3`{=gWm7dAqA>qIO>9L=F+s1a67myaI3U)F5 z%)QV7qR{ukGi&sZ)W_H)V2LMm;TJ$|2Mk4OsT7ic8~-I6lPX@560Egj88`lk<5({) zeRnfztbD-+xkX;U{|6Fk=eYhIqZ2AX4~sC+jiD^yFG)*ynT{WXyh2vz^SMa4C!N*N zP1_L2?ZNrIN9R)Wu$*j#a~Zc6sYvBLj(GW2?=0AcYe)y%r?@>(R*fcPzx~d?$7&P* zb!*CvU_*tjs1qjIP@}m@XRXyYD|=f!z0ou$j&Afkgn&TLw#mAfcNi%kH-OU$-3C}F zGDV1pI}1{7Lg@zj4QRr|ZUuN_e~P1EBO5Dwzgz^uI}tTA!zageJjtKtJ06F^b&x&V z-3+UEu{ACSfN*JPzy>DJVm`z9EG93}j^=H$c2ut(tD&I`yn0gskZJ!5 zCv0)NOpb#H+1Gfk!?5+m(KW)fBeyg$t`fXF$H|=5i-B3_4|)}uUs)eSEhm&7&_@jPJt|6Kx1GE+br-~BvQuEHgR}37ea-Z+>?R^DwA^B< zru|XfUMFx|{2>Fv&(nGWut>r9je4qc&)vKqnB#keTdFjhpTybMIZ=9qRVj5J#My7S zr2LMKsV$j>S5bGkf@O}m{9 z*}2|HQzCWfiGx4~YFXuk;g`vCFp87S?oe$WX+nLLk2XcUg`7t!X3kZ z&pZ~8*1y<4?4nJuP%Z7H#TNS*s*rh@ zX^3!xGDxVK&Ndy0BD=jz$=LUTrvVCU6CR=^=sAD}&?Byr$iO;M+eMMDGOBG&%AK^@ z1NU(F@VbDslD1kUC31txRP*(qZbukT>;8YG1kXyqzpUKXc4tY*F@WA`{Ni6XsGtq)Bs@S+^~sU-)_UU zI;)uEa27V11GELueqMtMs+U3hTQxX03(7C{q>gZc!W5B2S7?sg1GH|zP`Sb=p$7s{ z!*-GIn|;LP@9O{AlvIXz7KMOj)MKk4#s4EHb^}3iH}FtePzX%vS}SN<#sZDgB(=TL zK`0=DuNuHi3ri>6{{PD+^zSNtWn}DGaf;|vC0VrG%$*03Lb(b+@ig@`cqoIhgVKRp zyRm6D5fnu7wUe)A2GgVS55pbPC(0}z>t~Yop4%Z)igM&2y?W0zz2bWAttRlnXjiTu zUR}HMKvO99C83lB7N}TU!22ft=T1`>+v`k`6O)`r%LBv zZB}5F!NUJ134Q?vRZAHeV$tyhtEapBK_mDj31s0J!22^(`uBxuW84wG_&|K?SY z(d)ISP`|!AQ55f@6szJR_q!4~Q46G6@Bb8U)r`mKqqc>Cet3g(&>qq;Sxh=6+11Rb zmo%?q%iq%KSu-q1HJ^bZMj8x@&aXm4A?U(hcZOP>XJOoTAyn$_@q7|!np{8jTz`UR zANBvJG58D|i~8;%3absvt0ccd7-%UW-#er$2@6q>Pko?4WkFc|8;%H3Jz%h7(C+D# zy0Z#|Im$TH85 z2@D|=f@G3%ytb9Ox%qBzI^q~tu>m816yv*swkO$Gv1Pmh08!$6w|`@7;a*NOP0e6` z=5net_Z&JMxdng1A0PaawK_?SG5;SKTppn`;&!YhtzEs~*z1 z4FI$gnz}PI?`H@Jy#E_%9Y+xV(K5U3szYR3(48)u^-7PtSG__FK<_SM>1;vb|6S1) zhc5jV={Rr&fcoVce^FHMDXX(2&za}4b*Bp&=po#63-KU)OV(+?PqsXXJ?k|?;m?l) z*=(%6|+koyUF@j@9hyNRh`+ty#~BI_S5!@ zl|zyl5cj0^seaeKK2p}AUTj9b<8Z-hfo}jo)b+M$ayxb8GEY$Qt$xet7RuF%z#~G;%Dv&}Z9S>8l zMRt*y?e(ndKxgtt9_kDEWV1tq2F}2+1f9cM5{9xialv>w7MTnf8fX-4k8D`1c;bGv{-R+E>^co+_mX zm@_+sFi)PSrf1x+{XfZxu&4GlDtllvK!Zs)NNSGhTw67`v`!amG3_K<@_>`Sue=xS*h?);^a>+ zX(?=f+ewRwb1C7r`xhTX2?TfzA};sGgVCN_$M)mhKM-7pDUU2G9zcU~BDsoYs%SM0 z2=y5#%qooz>jNmq1~&andUFD^lD+cFg`%-pim*P+`#?1c-^43%%fkT^_)pTj*TnOVB8AH_nHEO zfCLEfyY)U+WSzY^x z))%@%T@Et#K!htdR)xbb+ad>dK`&5>6BYaNnSkDF>w3gTb34Z6#7^H?fTLMq)QRWV zaZ0QT!X+~t54di@lh0pT1$kTqi%XmL&ARV}xqmC+4MFZLR5-eBAlx~xYySr89axeR zr@%cl70na%FPgDGwPpP>#;xam+p^rBXT~oFI;%NSFt4}2Ng4Tcj~>NTg6;E&{0&!o ztvbE;^*kdF4J2}8c^U>&SI1hch#r)&e=!Ua)Ufl~GzoWQ<_zO=rEBG%PHU-9KVPbul@O#AHlIBZgeb4=v=rTyR;bRer^+jw= z%zNISvk=wbLJiOsdxmR2b@c>so9`yjYAQq@mCZa91+ERToWR!+@JX)Lo%gye7RIlg zc5n4f5|i?WF}b^lfT`uBXN&Twt&2C_-0UY~aU1cxO>$Y0OjQj>PUSuksk)~@wKqh> zqU?T5?Z?FMALGQ8%Fk8E7{h3djUEQ7ZxDG>_9L@=;$2J_)agzrU36b+|)x&$0JQUqJ_^R*~x5lrxG` ztgFghP5C)hg?B_#uW!>@M>bBpuh=9UQ56t5b{ag!$s$iG-TH?(9Vy*e<%^jqiKJHXU$)FMzf>Y3C=wiY zHv=z{B0mgf3EV?hW2S!LM16 zyZ!-(av_WARv5#`p{RLKUDMuj0=Xk78r;hc3xV?5U&{pY+Fc=?V2pOuj?Y&kPI5}WUiYUP?r_mYukwaR zDLH+6y_(i1Gix@++YU%k3o&)dv}c;e(`Kjb2mD%ph zd>3x6q0nO%%EOeB0gRk-mJff_)0kOWT2u3Rh;UAC>z-QF;(CIpM940A&$x*N5o zLWW8}CJ(wBU@1g915=z$jIstAdycYVSGPHXjvyGtH9nIswrWm_4bGr$|d7 zm5jZfO4-&tR74SIGYly<&|;o9HMJ)?`Dr{Jkm-zJjHIcSMe7rs%jgkKkO@%zbttt2 zj}4g32JxJETrRqFIJJxCULV7=Iws|>hwjCR(RhZ{J$b7(ER(;S)TXUGSUT?9Qm5t+ zU$@Nwt}(?BXbA1-FeJHT6k~w`^F@HfMxFxEA6OD_a8Pcucp5}hMrtr6wA@m)J zl&VPP_muOJjrB}ZLAh|Xk&o|X=&tmBTU$up1L^4^fPq4C8u_zWf5-$|eHh6J+VP|j zqcTX;UIR5bY=0@b=rx5xsq=MUv>sRtZU+Tff_P4JzPo9=TJBhlVF@*})93q6kHmqa4%m@c|RjZkn)@h4X?%7-#?Wzm1L!e-UUnBAf*> zH>JP|W;?sA-q>QKK?4G#Fj3nErYsf6p9)r+_jBZ=oE_$Y+4-!!C|TsH`{b~lN#Q(A zG27bcJo7xb4<*PR1VB9S9D^|3Mlb~J^xrgBN0&n$2J(A+9qC#d9^(H8$J^+V#b(W| zOAh?$e_EL&mlQ{ZiArp0&&iw_;564)`@DWVa19DT zp^#4iFjffr$}AARWSLe6rb#W!&+#h1>EN2@0QV8|h4WBl29v=8@z4yhpVnBcI|pNN z?-G7smo+w!2xP2%i6w|m3CtVhlKqB>DPg7>9S+>FVZl9H7v;H|6v3W4U6dOda%}wT zs_wd<%lV1oO@ypxvKcEmn3g}M-60;PC}2h5-&O%`!}8Zw%S3(qpF||EK$B*#w*c${ zvU=cJ1ulNl6a-y#bnaBJ`hBFC^DG`{Cgs*Zh@GE~eUSQR`)KVImB8+e4Mk{k8;=J@ z<%PT}6nCqveI;_0O_;NY5~A*|wyf1D3g^Mc57f4Y!E$*iqz)53nPIsq0bayq_aDIO z_4^zI*3Z2RRsyX|&1baT(rTnXLrw&Y`3-}7D!EvGGR)Sout4b@&nY_KgJamprM&o& z8D^>%&wz=LHT9QUt<5%R5eX0jo|Q4;0H|nU-j^wEa?yS)nAaR|dIIzQrTRk}Voll4_Vrj^Y9s z5-PJ$lB2eFBoqu-c-(4X%FgN!R!D zMD?vy1zL*YXrj5E4PEod(S$d3;zXdqm8p?I91Hx?+P)VSXfYgf1csajn3}LP3?mts zv#`!ffi#9x019)Md6Nl727+mJWpbFl5_O1nG;DMNtQ=SYC0uPtiW=i`S&89FlLJ3B z90m#`QF~yoBbcZ&Jvv|?(fy>`)ny|)4w-yOrt^mJ7vj{I08{LA0=XTaul^$(=x{p> zDZCZoPYt$8HA?>fKKtF75DA%TNecM3fPW1Z%~6VXAUl8#m4CmMf8{1(eyBW_Nx-JO z13I$+yj`9;{pk9}iO^KxC1A7k3bG&57g$CFkvv z+mnLK72*2VY}~!0UO|v-%!GhQ0Qx$4Pr)i_KM;6?zNu9N{}61|(Ca`e*R1;r(e6jI zgF}#M^D9hX4}gAN%eoJqrgHv*+KGCV#{@~}N3e+d&&=5M?NT3!BS^NM;MOmKE5%Zr zF1x^l2qe8nhXrvWMandTWY2h2RTfL3TObqqfH%Y? zUaU!fO|SPVZm@l|CS?mRnTzm;ksW~EJ9M8K)B3!D`;t6|Q2j$>eFy?u+A_O%`UB7w zdhSdGiZ#vt(EDJ`r;fnYjkKZo$i6Xi6H#40H~2k5Jsg4VU&X%?i3~wPMypmqMhMv(tDprdWgf16aUr$KEP%O9uk1){D3=U`eS+heE|Rd?sN2R h^bCW!z>QXkWWBB0@BHcY!l7%aC~92HlQ#|ee*hG%O^5&h literal 0 HcmV?d00001 diff --git a/images/seq2.PNG b/images/seq2.PNG new file mode 100644 index 0000000000000000000000000000000000000000..8033b96caa478dcf6d7522caa0cfcd2794522115 GIT binary patch literal 10710 zcmbta2{@E%`yVt^V@n!K4yvzCWn^rHEGeZ$mK4UmWNZmp%AQfSgwCmqB}>Yht+AA` zO(VoG%35M*FqT9y_A&o=W>B54bIx~N|M$AQX3RX#{XF+`-@p6!yI+y|y4pNjMYn=L zAf7X)wJv}_oDkr3%4SaB&i!2F0q~2>^MdwqP(iEM81QD3gXVcn5U4m7vSI@U-fwX~ zjqn74c=3!Mwg$KC%OKDJmor+L7jIZj_Q_r&_q@v-{Gi#n8_8quxLZ(m?(=}D+^V$} z7K8ex@~P>qK!MdZIs5sorjRzydf|+G(lC6N_&7iN$=oP`dy$mMg+xiv^ZSi|ZbD0d zf);D&Q#IZ6l^MQm-!JKlp@`{J`bv02#3*d*(r+ytDq3rog3KfVMPDkU@7}$8N&2=j z+#afve{TKL8U0!JIRa`#()p_S$qf{ zzPdWIe2&-0PhIx`W1LeB+AvUDEH&u9F8$Ez`(Cx57uGvzw@NFd&QApw(8WjSRlwlp z_-jktuMfL%ZSP}iA_a>Rn-5I1g}W>WlpWn1&`NQalnH++csJkTP=yTlH?-cp*cONJ zf&;t5-^?ySmL+|4o;cvM6iXjF$#rCija(Yq8{<6$kKZkXCAMcbyB->njRh7{WQ(mN zV;8_>{y+P$thZq$l}>FsFhw*;BfiU47T^kN_;#&HWp-@qjJ0D_qm8XM*W5R>4)G=S z<@+uh!k>8a56ge_oe`he|4lOd>8$5Wf^#ZbKZDqA0hqe$Lz1eXTwB}_^{y5xO)UDRZCzP7JU=A=-GI+ym0XOqgc1z*weDc_?p>oaLgwnTk@zXmZ|Kt==9udbmuAZD<2@%zraozxkJVKgPgHth zt@_ktA^U5-M@m&QZ1jte>gN|>GB^8py-g_gl(RLD`Q#u=&3COV{9xgfE`15g>T&7t z)ZAU+NyHW(&Nx-%yJnxyo|55j6y6Fe=dnq}N)*^&NguD2kvuapM7XY@4exO**VT!Z z*>rsJIqEj@#_rJTgfYCs7;`bdk0N=N$ z-}*M7W4!qMd~?4&l>2D@m&KwPzg2dLDUE*3cZH)R9QWg?daC?RFO!lV;yn+R1qb(n zl?l)I9QB*X;d!Jl;h9+}sUd?W%%aS2SF#7x%NA}l=$?P<6gY? z@btVOS4fos{4}qj%XMp+Sf`F^6Y3xMl?!*al)gDZ626D8^y?h@1AkGJq9={)_T28< z7%G;6_&rFdrqSoRJ=>1B@2JtIK0>08`<#_=NdDY)_mNRKIXaYJLpeflo6xO{>Cbt~ z*3^%C6*B361c8NVP z>Uy5zjdSt%MX4Br`D^J=jFVexPcTL4VX9$QLX(-sZ?SjY3`UY(^R>7Y`cIS^HfLp$ zi+jIZH#VN2FUla<_Qn@q6Y0BBwkW0Txe%A&XkBWL<%8$u8pQ%o)-`39^4mndicmls z+^E{E{+*BSk*i^$@slf6n3z!^1(jp(9aUtOCw?RL?c~~>-!gmdQIs;>u1mFPg@Nw~(Y~l&h%ssrPb1?QcuPc_=|0QSu zeYVl$W%MWf<&{A-MYpWg6JsBj8s{^w=P)tyQDy8sy*1o@taph88i$@3^YrbBkCcT# z(^<=&lXYFxd_(!{tq`u@|Hg*rja|_CedEPdFoFH7jNR}B(F6{Q(CbqI*>~r@7%E-e zG*7hio3C&p4^sUbNX3B#xBw4Ut@yKrJ+W#CUNck_HYB@#-*^izXGgL<1-z+DE&omB z2IO(^8TU4r(iQd3RaS*3rp^c%GAn=`wBw%GNW55Aoa)B?`wOGB9c7n`(vp(3EIFw> zG!MI)R8kGHt*#U7R&~8b+(L_4f}#=n+Skxl*Gx`)+qi#!VaBRuFQ1g{!x5_y5;aZg z9=B^3imS9Wf@fW(3%!S`tn&3z^Vg3V-~zw}mqCN$JKDs4d!(E{ws=+A_8GwhKN(&< zUoIIH7SugU_mx*!rq_PM-RN1Z)+9)b+g%YC^PdW`sJO)}nTXzox)`96v#yb>(Lp%m zPiSXkJEd&OplnAJE z*&-ZOnr-Llm1C_b{f=RLD|Dly-qjpL_O$>?Kt02c|Nw^s)1^KXo z+uS1xB99Gv_evzYa^lkGrJkGw@cKW_)Sk>`dshj0h2?TioFA>E8oTtoUv1L2!p!Qf zP+jGtkm(}Jz?Y^o4B7@_FHp$IrQjCOX$T~$!E`Xf`8cZ z2X&+ln#<65v+A>b(Kq3cf-haJKIZ9gCk57I1ASV2;RyFF)Rxt|pTf;*0I;XL<}1@R z-vzhlkB*o5tZgYIYb4+}RfagC5$;(5IhZo2;ArzwuxxL}Pdz zX(X4OY2&67!$ew%oY6=ohcQ$KZATmrzVGjK^1S16g$A})taRRI`SBtPmZ(UaO~!!l z`}DBY8}IYH`4Yi0byH#i9`Dz0ryEnoj8v0!C#H{ROc>%H)2zBb+8 zNFsH+F=RICzgIo$#7YOknumS218Kze^g;18U$u?3eOwW%Lek5${0k)tm+K#Z@txe{ z;MhZ~dQ=Zvvv`CNaSV&=#M%zZ`h<|gz?}X0-^r5fT564)XQbABqwk!7Hvt^H^0mQ- zA)kON{y%H(yzQvIk+&%g>n6G07XEC~Wf}cd6}fi8su4jvOuwzG2f*RclnvXOvo1f| z269(57Qr$M=X-3$3N@yDVbt9@S+ehjoA_y>OgC+amaLzUN4tOCFrXtWzmHvW z@egCScv`RR$vow+&MFNgAlCpFdHS#Z`VnINkvQ`#W_8Ks=p`Z95p7-<>*Nvb zXhr=yi`(pihKe}ror3C2LeF5*+@{Ts6WA-ckZf=XG?+K$puXBEye9=|lt^GxjniiG-UL6Bs+A=F56c{Fc-ZNBeGPI&f^F_Y z_;oax$|q)fbHxqI+Tb>=2GnTm!ceRjo;Jq8P(g~`gC^J8jdKJn&W0#1SHiJW+x6z?Eqi|=} zV?38Hk0EgaFNRh{Y9Y?s&|J>jUZ{@qgIcv`-CO69z+c(af+o`%dZ|?E?8LP4-rZ4LB+Vrh*Ca>aU z9TbPP|H$*HBHuMfrEY=QWIS7sUTfm&b`$x&CU80$82*D z-t)SD`YE@4l@(C~i&a*sHu(mA2MH)Koj#w@NwaM^A<=NixP;Pz+(QYjuZnGHgjiu0 zAe#5ouPFU=)Y`yF45(#(bqUf2Ordb_(NF%JD3?9~q zbIvv5#7wp+8FPr(Ksz+tBWR}H0S0eknPJ3Hik2XkaCX{x1u#OY$%f}4zul~ROd>cn zUl|Q<&Y!DW@_jBr_&ifLq z8J%S{>YaFU8%7rxv){*y!gkevAHm97x{g+Syi5lpIx@%qj8HdL|0!cr5d5*8`T+wR zEM$%FyA16(^#dldB5EBl2Oc2cK8`X61_T*cWm2&Q-f<6%kvOr4n%jt*KoWyyY{rlw z@&}y%9%=WrpsI16Th>9(8mgp}dyJW8Ox$KT><3V1kPA=_W8y(UOj@6FL2j=64$qU9 zkO%vta$7GFIxjxTK}+ST7|I$OdS}`eWH;%&HZ$lTSG?qKa3m^Mchum;uC^3^@NEEb*%)haxrQLAr09jD`R6^7&0f+*YLKA#o$CuDnj? zK<_r`8>S?S(q=3g{Qh4(-uecq2~X+H6X8sfK9XHUyn_ZSX%J94x3=+f*5duVOH#}c z00M=CW^BC}Yw$PacXTkh1-ALNP0{;np&ynjBM>)dfYPGX@%G>cx{at9cE>gh0F3Nh z+B=vv?e83xhv45i*3Fpy*r-zQ9(*8XhgGv{6`CFub5b*tj6E?zfulTfA!T~a56gsEdM2D zfO@0M9}K~OvR=VJBlveMYtrGFP9n3R51gPH9CCB9$O}`OAjl$Ae-nH*P)S7syR~|< zEwsd}h1H;cbfOb+JoMVZLao`wC~-!n1SnNK&FNl=LW6GrYqM5|LzumcQOu=Bqb~vY zSo`J~B(6tTc%mUzA~e%-XN6UuSukzMyRE#FHl%WXolEMn=>LHEs6^*6@ep4ituv4~ z*%%Sd*z)d2n$tk3T`jZhn<{Ld_=Lo@_boZkOf`_&T&UA7&3e9l_;KorCRT{dPe5H( zeRkMx**S2&Brqs0oC-8vXM!)=jv+NaL-K%nw}DAa7}1;6&BfgEFjb3HcX2MdC7coN z*#UFhS1bFP)1{p}Ke}TCN1Y@OJ#T{%P?0fi z?XfH#{8U_}S2*}ktGj%nA=3UlMgg+q>vouyN{mWTbphzlE;vMBnWhku$^M}?@7@O~=5nz?ASD{)V<@2N7Q3m{pw-H5)^JKRh2Hhp9WdSb2szeFW zAKAg@9Y1n+N(RahP^zkZ7L!5vJ(+x@sQvm75kv)IKqm?i1%Cm|$K@9u?Tv09_nk!; zhVHEsW&k-Z_Ix_;c=Szc=ZXVS*sAL8oKu>PP$aH@2Z-20uaY>Z?svQP;eu@Up9QBK z9pkD2_dxx&yXGC4(3HM>>zA3EdS@EqG#M`S1f+V@NwH)CpX2H@O={p;|^;<5ykC>-*Qg_eCt z+in7@T1D1GZ^Ik!6AZuC5AQrre^J`hdU3NkVj5sL*Wlj0>E&)GpFriF zdtn$(ucbWS1knD*J%Gw(1Y!h=Ma5p7{1479{HwEp^l|`liR}UoKnsq4-C8)}W(Na3YJXXd`7mjm1Qe^(uY(7y zk13s}Uuw}KzCmhLBg9*oyep1xYx3D>A<&HExW}7+p-g}VwsV;JgI#xxyZmF}{h5ya z3yxdAgN^P~jptwEMd5%TVjKYogoxZAUVq2voXVePuH;4&6Is+#Oc7#xLacp3v1SjF zt)Izjf1Rk&J6rD?Klr*H?nhcsJ)0Y5$qliZw2Ar+U>pzfd$jMLCxWF1m)!Db`XT8L z)ScQ|Ca)S{d_Clq%Wi+dSw=N>_^pZ)iMrCdR3I`%jk4D2kO zck-*O(*2qQ*1K+uuaOXK4H74yI{g%@FATJ;sG-3UJQ&Gs2J$B;^ywWO2EnvTTx1IR z$gj`P{CV&!0%ntJM9f$}|Kv_s#HZEbOa7^WuU#XmfnzZL0P9hl&nOmY$CI1iw}d9} z>xC`K@DTgft??P`3HG!1S=eR+7GDiW?3ygVy{#AyRs>^S|XxY_xSQ4xr^Bp{SqpJcNH z{13{4@&_%0XuE}6;wK*1)xm0|0PIfC+rQ7mhBv^h&n=ZmZ$h2=C>8jkwC(-@ej;BB zNj)$OG5wZ7{HVp(hg(9-wk@`x03i4kZTzvY=>pC0G3ku7F`(hIP=#tUGv0CQkSnzE zqp4#rA>c(X)>g9BE9fQ9+q!j^Fq-o^mWo9V6~C>zauBxvnq{NGiq_B}pyvgki6%yJ zD^;+RN_+~q=0tn&&fd00F`bY@BVCPRiHXKC&6XCI_~8(BQKTlXQQwsRXJL`6cPV*( zW!Dgz2RG{6C;vU)_QW#bNfR@0p`rxgF6ZxXjC|prxDqV=P32Ft0S{Zaga%`7vLx28 zVCsjJuTxDx*h#9BBAKkIbr(;QsLt@i2z9GpF-2KsfdGPJhRjf-+YfzLqXW+}|GT(u zGz0%Xpa_V?U+rXL?(eFbq0>Wr@{To04*|UIzFs5oqUC{9prXkGk?ah;<#J7j+`SUB z&J+QZiJ;kd*IN}F$jZ%t9=OB08CF5#rx7fY#%vY<($jX2SbMC51}C-f=#%Bna-j19 z(6R6E$m}oaQ-D^ezFI86qJ0?}G|f*u9tknpBu|jeO7_lVZ_(_Qt1ebJt}&XR{Lk9b?5@L4rl@*OM_P>b149O`DYVpBA~4O zhmDeeR#P`a=K58cTFAVD0cveLF`2;$Wn9#Vu_1`KJ8*WRyw0WZII|*SH-n>YJ0WNx zMkAzFpjzJ;*okB;qdVIObaeSaH-!Ku@EpM(O`$r6;gD`e0aco|+_}^{9z?r=<;GY8 zPqny`GFTnH5xO(2Xwl6(JM&XhXe(kT0es3#biIWVkY13Yt^7=rstUAXh8U6~l-b(c z!R#C}8+{J)kmLAMcOFfinhacBqy$whm6?*9v+WoEQ6m`IIRmhzY=+?wRYt=aaN#-OET(>E zA<#2`m^%hv>Fm!svRMud&g*$D>(Ddi4F=2g~wqQkPXtP+z-Q z8188c>cv*cRhmWUwHK%~m$~_UuR2yH+ix07e+4>%(*d{7cXa7)FU7$cTiyX8&HW8c zzBN>=>zFu09LF`RH0}a{WLWa}vvu!8|AkBvEW-aOUpX{U4*Y2`eG!`#jUocgh+EmQ zRZ?!eAEO%GpihvD_9CPICp13p3v{k75`m^RYtHPHhP}~-%>EBchcKnHr)!~dCN~n- zoPWElg%FOdlH%mtE?E)(b|pDcLJRNd^?*&C6M(nO0)BL*vo+D{=t?gq`gc~_^?yhU zNuR{5?EK(E79U{3iL1RmVW&o{w|dEzpBicFsPsd0S)7~>xb&*}!KBHdN_T%LS;Q^nQj1qD)|}D ziwj@D(7^v3SgQj_Ve$&Wd2Zpj1tY(KkOkbvNR1-S&Y2gtJPtAy9TP0j;L|(|nVf|N ze_hn|9R|TQ41J#lx!a>Az~kji%Og6HFMp zC0@{d>)Of~MH>WaY?1;VxO_3c06a))JQEOB-jMke{mTlx@xVrS=^OCn+LsZ;>A9Xd zSa#?SJr|a!SZ@g6+TtAmLDqVbG4DR4=5Ii!d%Z9Dmj^jv)v7>|a6j}(zEbV5^y{_f zR*g+R&0q}e(EQs*r}b#FJn#gNvRfVBYquSf#`{B`jnysQP|qi=f4KXX!7 KtKhgb>i+-@NL%Ir literal 0 HcmV?d00001 diff --git a/images/sequenceur.png b/images/sequenceur.png new file mode 100644 index 0000000000000000000000000000000000000000..359907ef8e7e4108e33712195b6c715dc32b99fc GIT binary patch literal 14202 zcmch82Ut_vwr&tX5CqFsRN6*GRH`UQs46M~0&YQrw19v#=^a8*DVwc=f;4HO6p@ZV zs0l@l)JO}E5JIF07!m}MKuGddK+oRi-gC~q=f3-%-{%TzWo520=NNO0e~j_3xa-Dx zyLKMl2?Bw3>0iBc0|eR*1%bHE^YH>#T<;7#0Y12VZ|GeFmGz3v0Vms?E*M<^fhrRO zHtcwSbAGR@w|zk%p?1!HE|ljR2N39-u>PeBWfH`@?6_9nius;YpmclyHWFPq7EYTr5E6`Q6nAzYv-&V(qDt%`fvM5q_;*m!EzQrPV~>(P*1$<=t4|FOkY zHjzkPYpb<>Enxipx+&rGM4SCdb$s_8?2lChZGC@hwJni?SHRQieu-8rM2*_{8U9FD;b*k z(&SCL>Q3=EdWR!Y%qY2?p6zq?rqE<)XP+7Pv0K+~Mw}z(Z}Le!(`h9{A?K#LTCt($ ze;!x63=w*7Lf>CCPmGlhB~o82JO>Ld1-zyNPF7H5p{~PrJuCCQLl|*C+#G$pPmwcF znf#BV%3uE8y8f@PFu&g##|>tg2KM^Q3}zJmBbdQ^e9o+NxaO&&k<{a`-7m$*lep%!rb-ypb6@Z0 z7?e&691kw=V=r`aGnQM<&IJZW&m_919#U+B0EQ)<vP~C(Cqpnlk`&9>{PPy^fIYY*r8YiZY zyjNqd-#wl%}Q#&m@ zr1$CW2iKbP@Cf*v=xVjHTN zzOJSdu|8E2>Y+n&`i{-hWzZmX6+vh&IJi<5(_fMHY~Ke;-1tc|vGkd|&~BNAv6*Zp zBZayLnuPH9T4{mS?$Z%>;^h@;aQ`KK_91++`(XTI?b>)+N3~Y9>R71*UZixno#hie zZD`U{8`>7Y8;Lj+Up-KkbC#x!uT%5Zkbs%6b*vg-U#lf@G{mn7qqEx6kM0GpYW z?%jtOmz5}ABvQ!w0X`X>)u1NGt5TGULKQgfpV=uoGXgi%xa(^)@FF}^?6BJ6JEkmS zZ{g=PV5Ju;VWK6|VO7(rUbLnsCFn<%zh9|^p0oO<5xd+#oTdJ;FuElSZ!f6-e7@pZ z-nXwX5ISeUL&c}X-KJP3`%yQffxSxoP=O4vBPP2j+oOfGv~NP9ouK|Lq?xgDUF+d_ z*)>aeFZv9vwYAsUbHe#7Oh0~SZ8P_h4C~xj4Xf$!gh#?3JuGJRckiQ> zzX-{$$bx1qZ;K2O26Lx{KSXT!O^Us-5-|Th%c~d|(Bf{~C6jG8em4v0$L<0I5N3~s zu^Uv4pw6-#$#;GW$_iuWcl+OIyc8DU{@m!wmYO3ELyns{?s=Z+kw#r7UdTmaG_Sa=nb_ z3_q~+CDZSH56rR7RVxN0MEBy7T|yKT2#aFawnX^YTGYalg%I>r^}}1sfhn1V^}BfF z?YVcvDmIxALWLwN^2omhHYcd8Yu|P?VnnPq36-K3n-uul4KQmT>TOSfX~m-fCL_J& zy}?}Pn+JYdb&=`CbDajC5ROtz)iF89ojUHzfC!EwrXq2fHJL#%YfTY!BtF8Ey~s*U zMz`yDvPrbHg@Kh=wzx*9H=Rt%xj)xAe@eU`=@ism!9LejQC*ktT>YDg)W{q0nBk<{ zEU85SPX;Y}WhufWAtx3`Kw-mjHvH$G6E27UqeVj*OY5U1$Gdi6g^|f65iB_r?pf8dTQ_w9< z&jyDrgYg@%Fo$usG(TF2rg`Rs`MbGt4=t;Q^!n2yOBxRsWgPd^_5KPa!Iof$Kbj3r zTJ4TJP(qfYPaQ<8l>6ldm*xa1fFp9qjd;BPe~bqW5wY#YTDVt#3;t`*)1jgQn9siqGG|hNl_Rf84 zoVnCQ&1+6>cqlJd$boG|8pCGzGg)H=5i}Bs9l&m8T8d9pzse_>?n6|cC2Zbc@K2DN zd9v5}-`h(e=<1g>CKlFf+d$`c0nqJXRfW_p(xCcT7%BL}Q0K5@DRc4q|xH z@L`8OlkvlIl{qL>-A(fZ5a_xAfc#$0QKb2;8Y-l1`u1K-IcCL=ZjK-~oU~q37Uf_< z6#yZ)J&jh>Z}HjlR;(a&f>^33ys4 z`PMNH4kUaTL*a>>ssGLc;4lC_e%9njv;{X0s|x-^SUc@{GJ_n2mnflKwcbCZvsPI*QakSEXZsx z02Z(70;kD8=Y3xK=j!}hw>>eXf2ZEcZXdiRT74t1B6`{kcszj2F&3PYdqs;cD2}oV zmpGT?oHoDtd&~}ka3s0>zaR-6h1Ww4Ox8TXYRxy66lTHv=Qfei+1Ga3}a z*%{2?AWu^YaL``L1;l5AI5L`>$r_`8?nY$d+XF!=8VLHz`HzBC!?` zgotm5aB;TfB$~E3%bHTl{56(Xpgv)P52x2K!cGZ)d&E8Po~bINqr(nJvbmO_t`+Wl zhB+MA&Y-bIaeUW_H=Ip@wR^iH3-#Cq4JRY0G9L?vnd{h`6P%zAW=TpoDw)qk%Z#37 zdP#pnqnLq|wPp?g;#mX?7xeO@Ta2RAy?Xj{cD$_fgoJLZ!HAAd;#CLd7mINxMk7$$ zlK*n^6;ZgjKcwJ2?r6WPS$tM+!t!zPTw6%E@BYw`}UUold>WJVdx!gOay8s*$*P(Q9FfT?zGUGs929QBU9)1#~Xb;Ih z2DcQ8mgNuNr3}gQsf(w@KNnOVL~I9O*nyHv+J_3yzrrvPuQQvbet3>Ya$DLr zQ&usTA)Rp`pVCa{}&;wmCxO3sAg@J^f4V z(K=2p`|_i1Nb5~;_#y*(C)S(Znc7}@dAJeLl80`p5BjOLuc){dKxh^6 za!!W+Zo->s^1IB%z_E|RBE%AO84y2{RF1md!JLycYrWo&kvnQqyi@NBzEONYCY-q8rRdX z;Qt5)qQBm2OW(YU8q(U-wA-&*Rr3a0_4R=JRVni|wG`CzYwrM;(;~e&vo?{S>M7UM zzGB;toa;mZt9}U(=*`ko$_IBnuai*PECOQ;#;vM2Sn(%zrR zFKfXSNW1IV4cN_m9P49dDm1xu%&qD@p6MbCL@6L3s37S#7z;|JgIjLiG_b68@kQ^< zEsXwVhybDjM#6^^a-G1Np}BBz%wj>PwjvWq$cF^)rI@S}uZ4m(OLmVjrye#vQHZ}pDNFc^8{r;339@=M>(rD+p+`TI`^fjD~EPxT)> z;!ijH`A;n1x==QE&%-fmD}dZ9@*qhSa!NrdXEs0PdrND!%0pJxJuP?F@+AK^B#Bb| zznSbmEM3+35BsUYtBfs*G)CHdYR%x(r<`C6M2gLipFz>t9S z;?l-~clF8s{hQYHF2A8BcC)5?vp8gSry5I9%UuVlR{L_8+-;#t6`fqOSk0)Wl zp|WT@*MePJHvd+maM8*guaebMT9UM7UGhVvT2ucNoQ}xlth8@B?Egh4^KVaf@)tx? zbMmZ`$^h@b@Tea7TH8H!!u{H>b5gOt%i1=}(<#)}mpTNOzkC@>v@({=IrXE0y4of0 zzFEKqy!B5_|FlB4e62k3OSO)rxbfWWk);4UzZ|9LbsR<<1@L)=0>`&fczUt!!pK0V zr%oUtBA&gAQ`cUcr7a9Ev45k{hgth8H(pzo`5g@JNuGt8C)w$B1c;{3%+3-P(DDvY z<~$#mEA1hL=fqy)Pxl|*!s=p9ZmiZY*69u3G;`EPP%owDB~02=$Cs_2CIw7|wi?r3 z*nDnp_roC^8h07{`jP6R)t-YYZvK=-5X!-@x(BPsFk}Ro9r%mJ2oe#Xqn+pxfL)SU zh>AtoTQi5rOx6fyNKyWfFqGO)QdKqb0>&v>y8fk81T^z25M=nE6D1wgr+#&c7oX)l z)S}XZWMC7j1xaq`=IrAd6Vf<$zZcDJ=pMO^!U5NwppOKd2HAo6gf83nXv1rf%_Prhg3at&R-o(2W^ z$HUG)^SU7E`z^exNdbSJQG#Ye)W6B7K}+V`JzX~z5EHnLN1Mk~$*h-MtGqmBsz`UCX1HP2+~ zZjET7Yl__NIJm?v|B3{u&XJ+hJ7Q(66p%;nX0#eUYH)`(;je5tthTy?Rz4fm9*llL55l*Sp+eUO-1W}^QN{C5e$^7_wo2S|N@5yOK^aX0YwKSkhx zh+As8h1PQ&N4f?iYV|~mO+%C|LK7K+mRKs)H*$K*nYjD5ZJ3u<@4$sMVk$_550X{3 zD_Tx!@z{dnL(cCZ8ZsJi7kLqWD$JWNSfxkTNc^dAMX?x14@2|f&tU$AX!pL8rLrj&M_S* zpJ+OQ(dw3kTK5u+N8B&A^b6mPk#HMLsSSgd6#j|`)ch)b^mxL_zE71rwr-nVqo+1Y zeMe!-G~Kr?LP%KB_>UdN;R8uuD)%|a^rqj!K0I&Rh^ZynPgE6rc6`)J>|$x@uMG4y>962%0nice0Sel?Z07brLce+UrP zOaSIu6(k2td23*O~!Kd0bKG))^{JAQOfQ|i0Hc%BEB7-W{ zv=i*2_|lkeI%F4T<&amIa=sD4?QY}!mZ^LfXY-P&6_`iuEM(4C-Ml64=N;+z7+M{udV)g2fsIcWl56_51TcF zYu^g#Z+f26mMX2;s4Kw=f0WxI8_;(5Haa4pkrt+s`_?art*Oae%hnNz89B#RW9b;P z7mlWTu(6@<2;h_@j5`uLsUIMB^&)d%5=ZY9AqprJG7_$~9KRAP9pDQs3%f-dDKZvoSd>gISs+taPr=@gPl4h+?QkT}QmId&!k$h%U-9e@f z|E)WqPn~(=m%_Sz`9xIG;t%1i zqRT|TFm#=0ATk&w>+t1l^DKGk8`CKnmGsR1f<*1NCt{{|a3l=-kM>mQar^rub@6Ae zLdG>as(xFM>&ZI)T*DxR$Fv0N4%t7n!U(rVmmJDy&vRELBnDFM%P$M@ z{W5xk-fwL|(l9>QcjI$SGDZ5cOXJ`jdLpkv(IZ)Ce5--F`#M(1Jy4*ZRSDsP)`n=- z*N(UQy1Ag`n+emq5B0!OMRl^Dm(Y}Y-r-iCW8DckEQ%I*7I&!&=_IcizR|{*S}l}< z#eQ~?Y!oYth-2BfFi{=#x)Gwgcq&H&zPZ2aARX-DCiI9DcCfCfm&~H1EzFn_-eTNV z`^R6p42y}kV@w`&^i<**7URO-UOV+vuN{+%)7?mZ{gQ1uP)P>U_YI;VkH?%TjZ(B! z9u76=2@xJZdl>CBL)7^NI z3Ph`wM3Q552bo~(veS;ATD&BwPPcYqjjz|YOF4HToEt6Cr_J?iZ=x@IL8`B=fO<%f^p`@wYq4^DS==$VAvNfH|Kh%>fZz`fV@RYKJg+8U~n zgXs%ej`6D!^Cya&%3SX5S0@~WR&OxudnwY)#rSrwhQu%9>mpQ&`wik^u;?zrUPz&S zS?0;nco#69c6bz%S<^VoZFJkz`Lt@en?=k4&H48yHnP-8TKC>Z0s6s7!%m(-Dc26p z4BzPulO6OgMI<8q7dFP4NP6w5(d97x&DP(TUW(W7hSnL_9JNvw(xYi->5HM-2%oig zZ@nB54%G^(U)}CKKlP@oL8_@1 zlv>Uf283l6D$;vXJzh*by7^kk2x%H_Dzo0ZE>inmTNz#%=5d4XRsm*q$UpmDW93{w ziZKwyj-_~ht+8MAPMW9vK6!BBtxAlDcQ){F{zb4IBH+$achc*i{^jmqXS|ho{@`H@ zJnT-kdrlcD7bui zs~F3t3gu71R)@~4C$*y30Xx!rUW!O z9vtT_R|EX0vYdPqWC$T5?1?L~3(|VB%Nrrojub&NLzl+v=+N)5gRD@7OvVh*|DYzY z8*>8YD`9obtm#;lqAY?gJtgLNY{m4KTdx;)rrUr9v;q#*`bl9w3>h^4>UlO(0B1$7 z%A5CX<1Dt9mwAR7+AWi2K?}DCCbjhS^Y>$oF&O7v3-m+FYQy`vduypDwGt|>9B?Ykam5z#@g@Cm{ z&pH+ze0xA2mzbi~2W@YtVa|?HnX75hilM~H1OAZuW02-cOcY7he=j}Mq=w`pqGBU1P@EvjPn8R5btn5!pX zhp6-J;rzaU{KGZtKuffA*|d>FlAWFbc1Bf9@KpU8#k@+sSrmNe z1BRe+{LIk$It;VXe5dd&QUM=u_MV~myq=h$LA1KTLfk;XfUs6=wLD3d7BUr3e|ce9 zPt+CH)V%Y=m$%PqwZ25vPw#tHnte>(`xQAVNPK35%JdHgBErMe6r|U=Zt|eWA{IKY z`NdpWx`~=zK6~0?{0dbv~hH1A`mBO0Dgov_b3vvA;RcVM?x_m{Qi-Y zzsRi#$n9eDNTtWk(8Agi_#sC9rf)a;0QQquS6#l4e{D$wB2b5b2tX#VgAq6IE`st7wGDmJ{ zYqF;&8_pn;2(6LRG*kkUF}Dzi@TZ_8MUD{$W{m+iEohruU$0|DtvD~-+-o3y^ zH_I~h)(jjvv)oedG?Hj5iq(~EXA!>Xx><~n8}^lUuDwZT9qJls3$ezEb&X(ap;e3Z zQAp9)zGBPpFj9CE``&tID%Ks^h#2}CpADd}-S63%rHS=71Qu!xF$Vh54(E&mmAmy(8o1;Y_hELXM6Jk&H$o@eWzL3&bSZOH{&Q zBLhz+G?ow8>m(%bL)N*97Aw&V*~-tpROqRy4Mu8sSf3T>B*(20BDVj9)1ws7-r)7N7ZWNN6feTAded6-)H=bQc|Wjdv$Nhs*00 zNhbbP%u@o;#Fq{y%tmu$#XL?MJV+b&BN_&vvblpC!-7_Y)FImXsPxp?<`v2l4-*=jC!FTRH!@a&|xB@xUK`oZEJ;V=v@Cd3g z&#vnGG$j87VEg&{T;ezq8v<7Sj$L;4X{dbIUTl98#oRDf@xmh#0wXKOjh@sxke0NC zpVrI#Z&=K?LdAcd9k(NW>CuU%6a8WE_D>?t+N0NTK|^+I z@?!2KLsB_LY}pz&^YCpz$>L)UbKo!KebCvtY-4)O!z&~%XJeu#Dpxv#e%9~nqq78L zU_=7@3H0UYmmx)|=jLs)9<;>TAqz&a3TngiRS$PXoxkL7Twlkq;5y9d;9G^V8~B<) zx`d-wV_|DYaBw%AHRa$&)mf8ePS!BR=@SEZp|n_Te_MXs0o z?fa;2j zdMo7se!q3Q2Hm75UiH*JoL06fYjXsGc)kE1fZT)o z$_>bRgn}dhf(m7upOD(gUC;&}vIg{H_5vGe*OuzzqO|n(ei1-VxCIp1Y$AJGRd;#N zwyd-jsniNwR@y-nXc73NzJn<%HdE`z^g2p3te3ai4-g_=?g!|vA{AF>ZO_`q zA)g+F1=spDqW%Nt#R%vW&rNd3sD+*K>Gs(`MUA3Xc=Se3YZ3K4=Fc|LCb8hrA)wHJ z3|h9QEl;|=gCP8}mUexExRo|iJ5y`QlE9jip4xy*HV5oa8s7YaxAJ2Q4u{zJ2K8jn zrb|UlczU)wTwvf517^1sx&JheOFrefhF}-7vkmpXsV}PAMQ~MfVEh%F)LB2qHpeeG%_bHDY zpaLbjn_lY{IVI1zohab$J8cLC8snPrNB4rvC?|t(QHs->YYps(>lXm;3}i6C5YPK& zHtWa}Jb76U&3|%+|H=~%dV38htK2XKNF52j>PLpeGF^oo{w%Ejg`NUb!c}3nN$PtL zzofez%D__Rd*5(c2tOn4yelurISm)zIh1W%)+g5W^^bF#Rb!A%3~=%1q$5*_oxu90 zDg)eT&gy|C9D$k?uquEhb1L4TphF%Sr+{4=C^g&)H$Y?BAc2U}Ja$UDH&wO;D-%R>+G^pXiIGlf0-1cNK8zlG1=|Q zXhFa_ZD^9uK%m1MNom{nomK+x;!0x8OX z33&*#Mgc3~9KET;GT6z#D3Ow%30##x?cv|jugHTqc|n>FZqKHjgB07772OZ*JU_GZ zGRW3QY;9A`E-15>BavLThGMJ0dF3cBe)Hu30FgVIbM z{f%PuGr0ak(qDLeGA##7$xmJ@E7Q2mewW@9GDBM|rp%}IPZwD)I=Q&wiZxzyI^;h$ z6+rW{<$C0IB+|$S*H;e&yLXn7cJ#G1ct**YAlcveZj}*iR?ZF5Sj~p6hj0EU)W`p} zIXlg)eU`QgQko+VzJ;9uB2~-umw?>yAz5Pm!8ebs*L+GmiwOXM$=7R;8yiq_Mv0K9x|w z+jUUi+1_KvokA>21D>cQ{j~(e52E2=pLM^;cw5PZ2Fbwb-BByl1%MVGo@3Ragi+wI zeFCNd-{??Ak{PWv_T*r_j<8pOL)Y%_u2|7c$wgVr%wOB|2>@dh}4eRAFiLth=ND>>=M6$u7!+vYC1Ab2fc zuX%BG5~i~v%}>X;6RC5h?8-qbxsk(RGBkwn)_u>12vyA{f}{nYSF#1#mIKGK50rQ> z|L`P{g&BzN)3D%;>8E}>gAV;*F;y9Rj}=Cc5iyAE{&`y0##NP9=p)s#A{f+hM}~ft zJA+NWm|VxsgH&ZA_nlZY#Vd+s6*Nagj6&Y2bASQRB+K?thaFNQM)buW72p*Qv~WO_ z`K9R=!Wl>KvI7nuvn4}dZ_AQ*O0^y+QQ1B6X@)EEF@?w3(#R<>^|LE|Z&YxGKdYaq zhAV8p$p@G>vdn1U-1jUoqCXRN`R+Ykc^)pHl!@s~Rs`Kkrtk!*Ll940!LYcy87My; z32b2_DiNo(lgglkofd(Pgf=Blt@sZ1J*t%g;ua7fIKOemneSM-tPx*w6vqGMrV?$} zR$_){SA7qr&ytY%ggBS=c6LL{jOGT7if-|9^iLKl9WemPv)d|va$gBHi&wJf=1OJ= zn044@L(A+CXwhHY@-5i=tyYKYpDzi?4}~RD9DVQNBMt!d09fAV3r6kPPQofFFi#+) z_$-$M0^G;n0(;kc-E;p&9RUeYI*t@!ACAA4|3Q-8n11yYy-AZ^QBh#bw##M4tkl18 zI1BJ2c1(BS=u-hTyEZ8EzbCOEdKG11*pdU6fjB>2Iq1-dJ{S62-%!vdB{{?Xg z1S$hUw@KmTmA4-#)6bTl$H&D&i96YH`! zD7YO7+7Fsg2f}jov3jiI%Bi%|(gSkm0iWcR#nF`bxw>C2rb4+d7;J9R7JtBEoUhg4 zzfK;lpZ@x(9C*d31Za?fES7;1gk=4Le1=Ub)3?y>gc(4-x&quBxj-#+0HKou#{Qn0 z^yh0nTd(~5vv-6zZwkeBfx?eppHAuTh^YVkghNpU-Vr+m2m^fZ080V< z`;V`R{TpBU{Ud(MU-(Z&0KoVg8S3v&fTkw!==1wI>_AY<1HiO_e*zq;EC?ib7tV-q Xb~}~3H_Xw1b3y;I@ujkhwh#Uf&__p< literal 0 HcmV?d00001